Power Sequencer
Power Sequencer
and Monitor
Reference Design
1. Description
The Multi-Rail Power Sequencer and Monitor is a highly parameterizable set of IP blocks that can be
customized to meet your power sequencing needs. It controls the enable sequence of up to 143 output
rails, can be distributed across multiple Max10 devices to increase the number of monitored channels,
and can draw from a mixture of Power Good (POK) inputs as well as monitored voltage rails. The
sequencing can be based on voltages reaching a certain threshold as well as timed events, it offers
parameterizable levels of glitch filtering on PG or voltage inputs, customizable retry responses, a
comprehensive PMBus interface, and numerous other options to tailor the sequencer to the needs of your
application.
Page 2 of 31
2. Overview of the Design Archive
The Multi-Rail Power Sequencer and Monitor archive (Power_Sequencer.zip) contains the following
directories:
<path>/Power_Sequencer
Installation Directory. Contains source, documentation, and top-level Quartus project and
constraint files
docs
Contains documentation for the reference design
quartus
Contains an example design for a full-featured six-rail sequencer
source
Contains all design files for the Multi-Rail Power Sequencer and Monitor design.
sequencer_qsys_tb
Contains simulation support files to simulate the example design using Mentor
Graphics® ModelSim® / QuestaSim® simulation tool.
Page 3 of 31
3. Architecture and Operation
Electronic systems containing FPGAs, CPUs, DSPs and ASICs require specific sequences for the power
to be applied to and removed from those devices. The Multi-Rail Power Sequencer and Monitor provides
the ability to monitor and correctly sequence up to 144 rails (including monitoring VIN) through normal as
well as errored conditions. It accepts any combination of analog voltage and digital Power Good inputs,
and maps any ADC input or Power Good signal as any monitored VOUT or VIN rail. These remapped
and decoded inputs are passed to the “Sequencer Voltage Monitor” block, which checks and reports the
status for power good, undervoltage, overvoltage, alarms, present voltage levels, and so on. The power
sequencer design implements a sequential approach when powering up the rails, and powers them down
in the reverse order. Information regarding the state of the various rails is provided to the PMBus slave
interface. PMBus is a protocol that operates on the I 2C physical interface. It is compliant with the PMBus
specification revision 1.3.1, available from http://www.powersig.org/, and is capable of operating at both
100KHz and 400KHz modes. The output of the “Sequencer Voltage Monitor” block provides the status
used by the “Power Sequencer” block, for enabling and disabling the various power rails.
Since the functionality is partitioned into multiple blocks, the user can easily remove any blocks that might
not be needed, customizing the sequencer to fit their needs in the most cost-effective implementation.
When only a simple sequencer which bases its control on the state of the POK signals is needed, the
“Power Sequencer” block (shown below, in the green-shaded area of Figure 2) can be used without any
of the other blocks. If voltage rails are being monitored, but PMBus support is not required, the PMBus
slave block (shown in the yellow-shaded area of Figure 2) can be removed.
Page 4 of 31
Figure 2: Power Sequencer Design Blocks
Page 5 of 31
The sections below will show how the blocks are parameterized for the example reference design, why
they are parameterized in a given manner, and provide descriptions for alternate configuration options.
The programmable ADC sequencer has been configured to operate in a round-robin fashion, sequencing
through each of the analog inputs:
Page 6 of 31
3.2. Sequencer ADC Decoder
The Sequencer ADC Decoder block decodes up to 16 Avalon Streaming ADC interfaces (each interface
can contain voltage levels from up to nine analog input channels, for a total of 144 monitored voltage
levels) to sets of voltage level busses. In addition, it allows the designer to map any of the voltage level
busses or external POK signals as any monitored VOUT or VIN rail. Configurable options allow the user
to specify the number of VOUT rails, the number of ADC interfaces, the number of Power Good (POK)
inputs, and how long the Power Good inputs should be debounced. The debouncer passes through the
POK signal only once it has been stable for the duration of the debounce interval. There are 28 levels of
debounce that can be selected, and the duration of the interval depends on the clock frequency provided
to the IP block. The progression of the debounce level is exponential in time, and the GUI calculates its
duration only once the IP block’s clock has been connected to the Platform Designer’s system clock.
Otherwise, the Clock Frequency will display as 0MHz, and no calculations will be made. For every VIN
and VOUT rail, an option is provided to select the “ADC Interface / PG” input, as well as the “ADC/PG
Channel”. A unique interface and channel combination should be selected for each rail. When multiple
rails are set to the same combination, a warning message will be displayed in the bottom status window,
but a system will still be allowed to generate, if that is the desired functionality.
Parameter Description
Output Voltage Rails The number of output voltage rails being sequenced. This must
match the values specified in the other components, or the interface
bus widths between components will not match.
ADC Streaming Interfaces This provides the Avalon-ST interface from the Sequencer ADC
Decoder to the ADC component. A single ADC Max10 has one
interface, whereas a dual-ADC Max10 offers two of these Avalon-ST
interfaces. If additional Max10 devices are used to monitor voltage
inputs, the number of streaming interfaces can be increased
accordingly, and they should be exported from the system to allow
external interconnect.
Power Good Inputs The number of Power Good inputs to be monitored. This must
match the values specified in the other components, or the interface
bus widths between components will not match.
Component’s Clock Frequency Read-only parameter, specifying the clock frequency of the input
clock for the component, as specified by which clock is connected in
the Platform Designer system. This value must be correct, or the
derived debounce values will not be calculated properly.
Power Good Debounce Setting The number of clock cycles (2^n) that the Power Good input signal
needs to be stable, before it is forwarded downstream.
Power Good Debounce Interval Duration in µs for which the Power Good input needs to be stable.
This value cannot be calculated when the input clock rate is
unknown or unconnected to a clock signal.
ADC Interface Number / PG Specifies which Avalon-ST ADC interface is transmitting the voltage
level for the given rail, or whether the Power Good (PG) signal will be
used to control a particular rail.
ADC / PG Channel Defines which physical ADC channel (ADC0 - ADC8), or Power
Good (PG) input bit should be mapped to a particular rail.
Table 1: Sequencer ADC Decoder Configuration Table
Page 7 of 31
Figure 6: Sequencer ADC Decoder GUI
Parameter Description
Output Voltage Rails The number of output voltage rails being sequenced. This must
match the values specified in the other components, or the interface
bus widths between components will not match, causing an error.
Power Good Inputs The number of Power Good inputs to be monitored. This must
match the values specified in the other components, or the interface
bus widths between components will not match, causing an error.
ADC Reference Voltage Used to calculate the various power good, undervoltage, and
overvoltage thresholds for comparing to the ADC output.
Functionality Level This option allows the user to optimize the desired functionality and
reduce the overall logic footprint of the design. Please refer to
Section 9: Resource Utilization for logic utilization estimates of some
example configurations.
No PMBus Design uses the hard-coded levels specified in the GUI. No dynamic
adjustment or monitoring via PMBus is available.
Hard-Coded Thresholds The PMBus slave interface is present for fault and status monitoring,
but voltage level thresholds cannot be dynamically adjusted.
Full-featured Design contains the full PMBus command set for dynamic
adjustment, status, and error monitoring, described in Section 6:
Page 8 of 31
PMBus Command Description.
ADC Samples to Check Number of contiguous ADC samples for a given input to check,
before declaring a warning or fault (overvoltage, undervoltage, power
good, etc.).
Retry Attempts The number of attempts the sequencer should make to fully
sequence back up (following a complete, controlled sequence
down), after detecting an error condition.
Timeout Interval on Retry The delay interval that the sequencer will wait between retries. The
option allows the user to select either no delay, or the delay specified
within the sequencer block.
VIN/VOUT Typical Voltage, The typical voltage level, expected to be observed at the ADC
Monitored analog input. This should take into account whatever voltage divider
circuitry has been implemented on the board.
VIN/VOUT Overvoltage Fault Voltage level at which the voltage monitor should declare an
overvoltage fault. This is expressed as a percentage of the typical
voltage level specified for the voltage rail above. The calculated
voltage level will be displayed in the “Derived Thresholds” section of
the GUI, and should not exceed the ADC Reference Voltage Level.
This will be converted internally to PMBus DIRECT mode in the
associated PMBus register.
VIN/VOUT Overvoltage Warning Voltage level at which the voltage monitor should declare an
overvoltage warning. This is expressed as a percentage of the
typical voltage level specified for the voltage rail above. The
calculated voltage level will be displayed in the “Derived Thresholds”
section of the GUI, and should not exceed the ADC Reference
Voltage Level.
VIN/VOUT Undervoltage Warning Voltage level at which the voltage monitor should declare an
undervoltage warning. This is expressed as a percentage of the
typical voltage level specified for the voltage rail above. The
calculated voltage level will be displayed in the “Derived Thresholds”
section of the GUI, and should not exceed the ADC Reference
Voltage Level.
VIN On/VOUT Power Good Voltage level at which the voltage monitor determines that the
Assertion Level monitored input rail is good, and at a level at which it should start
sequencing the output rails up, or, if monitoring an output rail, that
the output voltage of the rail is OK and that the next rail can be
sequenced. This is expressed as a percentage of the typical voltage
level specified for the voltage rail above. The calculated voltage
level will be displayed in the “Derived Thresholds” section of the GUI,
and should not exceed the ADC Reference Voltage Level.
VIN/VOUT Undervoltage Fault Voltage level at which the voltage monitor should declare an
undervoltage fault. This is expressed as a percentage of the typical
voltage level specified for the voltage rail above. The calculated
voltage level will be displayed in the “Derived Thresholds” section of
the GUI, and should not exceed the ADC Reference Voltage Level.
VIN Off/VOUT Power Good Voltage level at which the voltage monitor determines that the
Dessertion Level monitored input rail is not good, and at a level at which it should start
sequencing all rails down, or, if monitoring an output rail, that the
output voltage of the rail is not OK and that it should start sequencing
all rails down. This is expressed as a percentage of the typical
voltage level specified for the voltage rail above. The calculated
voltage level will be displayed in the “Derived Thresholds” section of
the GUI, and should not exceed the ADC Reference Voltage Level.
Overvoltage Faults cause When enabled, the sequencer sequences all rails down according to
controlled sequence down the fault response, in the presence of an overvoltage fault.
Page 9 of 31
Undervoltage Faults cause When enabled, the sequencer sequences all rails down according to
controlled sequence down the fault response, in the presence of an undervoltage fault.
Table 2: Sequencer Voltage Monitor Configuration Table
In order to suppress spurious errors that might be caused by noise on monitored voltage rails, error and
warnings are debounced via the “ADC Samples to Check” setting in the GUI. For a warning or error to be
reported, it must be present for the specified number of samples. The duration of that interval is
dependent on the sample rate, as well as the configuration of the sequencer in the ADC. For example,
the ADC in Figure 5 is configured in a round-robin fashion, and it reports the voltage levels for each
channel in sequence, over seven timeslots. If the sample rate is 1MSPS and the voltage monitor is
checking that five samples exceed the threshold before declaring a given warning or error, then the
warning or error must be present for 1us * 7 * 5 = 35us.
Please note that the “Retry Attempts” and “Timeout Interval on Retry” are global settings. They can be
dynamically controlled via the subset of PMBus commands that affect any of the VIN or VOUT error
responses, and changing any of these values effects a change across all registers.
Page 10 of 31
If a rail is monitored by an ADC VIN pin, its Power Good status (which is later on used by the Power
Sequencer block to sequence the rails or power groups up/down) is determined either by the levels set in
the default configuration of the Sequencer Voltage Monitor within Platform Designer, or the dynamically
modified levels set through the PMBus interface. The PMBus commands VIN_ON and VIN_OFF
dynamically adjust the levels at which Power Good is asserted or deasserted for VIN, and the commands
POWER_GOOD_ON and POWER_GOOD_OFF dynamically adjust the levels at which Power Good is
asserted or deasserted for VOUT. The other thresholds (undervoltage warning and fault, and overvoltage
warning and fault) provide a comprehensive monitoring approach to safely track all input and output
voltages, and allow the designer to automatically or manually sequence the rails down in case of an error.
As seen in Figure 7 above, as the rails voltage ramps up, it can pass through one of six possible voltage
thresholds. Here are the default settings, based on the typical expected voltage for that rail:
Overvoltage Fault (107%)
Overvoltage Warning (105%)
Typical (100%)
Undervoltage Warning (97%)
Power Good On (95%)
Undervoltage Fault (93%)
Power Good Off (90%)
Once the voltage rail is enabled by the sequencer and its level rises, it will transition through the
Undervoltage Fault region, and into Power Good. All voltage faults for a given rail are masked when
Power Good is not asserted, so this portion of the ramp up cycle will not be considered a fault. Once the
Power Good level is attained, the rail will still be in a state where Undervoltage Warning is reported. This
is normal and expected, and the PMBus may report undervoltage warnings for some of the rails
(depending on ADC sample rates and the rise time of the rail). Once the rail reaches its nominal voltage,
simply sending the “CLEAR_FAULTS” command will clear out any latched warnings in the VOUT status
registers, which can safely be ignored. At this point, the sequencer should be in a normal operation state.
If the rail drifts outside the typical operating range for longer than the “ADC Samples to Check” duration,
overvoltage or undervoltage warnings will be reported, causing the SMB_ALERTN pin to be asserted. If
no other devices are asserting SMB_ALERTN at this time, the page associated with the warning will also
assert STATUS_OTHER bit 0: “First to Assert SMBALERT#”. This can be used to indicate which rail was
first to experience an error. If it exceeds the levels for an overvoltage or undervoltage fault for longer than
the “ADC Samples to Check” duration, the sequencer will behave according to the programmed
response.
Independent checkboxes for each rail allow for a controlled, automatic sequence down in case of
overvoltage or undervoltage faults. These responses can dynamically be adjusted with the PMBus
commands for VIN_OV_FAULT_RESP, VIN_UV_FAULT_RESP, VOUT_OV_FAULT_RESP, and
VOUT_UV_FAULT_RESP. There are four different behaviors supported by the sequencer for a fault. The
sequencer can ignore that fault and continue operation, it can sequence down immediately, it can retry for
a selectable number of times from 1 to 6 attempts, or it can retry indefinitely. The Power Sequencer will
not retry until all Power Good signals for the VOUT rails are deasserted. There is also a programmable
delay setting (labeled “Delay Time Between Restarts” and set within the Power Sequencer parameters),
which can be enabled to cause the sequencer to wait between retry attempts. This timer is started after
the Power Good signals are deasserted.
If a rail uses an external Power Good signal (typically a POK output from a power supply) and the rail is
not monitored by the ADC VIN, that signal is passed directly to the sequencer and no PMBus-accessible
monitoring or adjustments for that rail can be performed.
Page 11 of 31
When the PMBus interface is enabled, each rail can be monitored on its own page, provided that its level
is measured by an ADC VIN pin. VOUT rails are numbered sequentially starting at 0, such that a six rail
sequencer will expect to have rails VOUT0 through VOUT5. Rail 0 (VOUT0) will be observed on page 0,
rail 1 (VOUT1) will be observed on page 1, and so on. VIN is a special case – the input supply is
observed on all pages, and clearing an input fault on one page will clear the fault on all pages, since
those status registers are simply mirrored across all pages. If a rail is not monitored by an ADC pin (i.e. it
is using an external Power Good signal, such as the POK signal from the regulator), that page is not
considered to be a valid page and attempting to change to that page will cause a PMBus error to be
reported to the STATUS_CML register, bit 6 (Invalid or unsupported data received). If the only rail being
monitored is VIN, and all VOUT rails use external Power Good indicators, VIN will be present on page 0,
and all commands relating to VOUT will result in a PMBus error to be reported to the STATUS_CML
register, bit 7 (Invalid or unsupported command received). Likewise, if VIN is not monitored, all
commands relating to VIN will result in a PMBus error to be reported to the STATUS_CML register, bit 7
(Invalid or unsupported command received). The PMBus interface does not support a page setting of
0xFF. It only allows for pages that correspond to a monitored VIN rail (page 0), or monitored VOUT rails.
If PMBus functionality is not required, this component can be removed from the design.
Page 12 of 31
Table Above derived value, shown for reference, but is passed to the design to
accurately size counters.
If multiple rails should be enabled or disabled simultaneously, they can be combined into a single group.
Groups with the same “Power Group Number” will have their Power Good signals ANDed together, and
will share the same enable and discharge output. Power rails within the same group must have the same
values for "Sequencer Delay" and "Qualification Window", or a warning message will be issued by
Platform Designer. When power groups are not enabled, the “Power Group Number” column in the table
is set to read-only, and each VOUT rail has its own unique power group number. For example, in Figure
8: Power Sequencer GUI, the rails for VOUT0, VOUT2, and VOUT3 will share the same enable, and will
ramp up together. Once the Power Good input for all of those rails is high (and occur within the 10ms
qualification window), the enable for VOUT1 will be asserted (following a 10us delay). Once the Power
Good input for VOUT1 is high (and occurs within the 10ms qualification window), the enable for VOUT4
and VOUT5 will be asserted (following a 10us delay). After the Power Good input for both VOUT4 and
VOUT5 is high (within the 10 ms qualification window), the sequencer will have fully ramped up all six
rails in three power groups. Please note that the delay between when rails are sequenced will not only
depend on the value of “Sequencer Delay”, but any additional delay caused from the debouncing of
Power Good inputs or how many ADC samples are checked in the Sequencer Voltage Monitor block. The
total delay will be the sum of the two delays.
Page 13 of 31
When the Power Sequencer is in a normal operational state and all of the rails are enabled, if a Power
Good signal from one of the VOUT rails becomes deasserted, the sequencer will immediately assert
nFAULT and gracefully sequence down. If retries are enabled, the sequencer will wait for all Power Good
signals to be asserted, wait the delay time between retries, and attempt to sequence back up. At this
point, nFAULT will automatically be cleared. If the failure persists, nFAULT will continue to toggle in a
similar fashion until the maximum number of retries has been reached (unless the retries are set to
“Infinite”), at which point nFAULT will remain asserted. Once the maximum number of retries has been
exhausted, the only way to reset the sequencer is to either toggle the ENABLE signal, or use a PMBus
command to increase the number of retries.
The PLL Lock Splitter receives the “pll_locked” signal from the PLL, fanning it out to the reset logic as well
as the ADC PLL Locked input. All blocks within the sequencer are held in reset until the PLL is stable and
has locked.
The Avalon-MM Sequencer generates the required control-plane commands to initialize the ADC for use.
This is required if the ADC will be used to monitor voltage rail levels (as opposed to a power-sequencer
only design that only used POK signals from the power regulators).
The Avalon-MM sequencer expects the ADC interface to reside at a base address of
0x00. If this is not the case, the avmm_sequencer_pkg.sv file should be adjusted to
write the initialization command to the proper address offset.
3.7. Data Formats For The Output Voltage And Output Voltage
Related Parameters
All data for the input or output voltages for current status, warning levels, or error levels is stored in the
DIRECT format, as defined by the PMBus™ Specification. Coefficients will be determined by the user,
are specific to each voltage rail for every page, and are based upon the voltage scaling resistors used in
the design.
The ADC in dual supply MAX 10 devices can measure from 0 V to 2.5 V, with 12 bits of resolution. In
single supply MAX 10 devices, it can measure up to 3.0 V or 3.3 V, depending on your power supply
voltage. Appropriate values for the voltage divider must be chosen, in order to provide a sufficiently large
scale that retains enough resolution for accurate measurements
For example on 3.3V input, if I want to set my OV_Fail at 115%, I would need to measure a range of 0-
3.8V. We will also assume that an external reference voltage (ADC_VREF) of 2.5V is used, and the
following voltage divider is applied to the monitored voltage rail:
Page 14 of 31
Figure 9: Example Voltage Divider on 3.3V rail
When using the Platform Designer GUI, all of the calculations below are
automatically made for you. This description is provided to show how the settings
and reported values relate to the PMBus™ Specification. You just need to ensure
that the output of the voltage divider will not exceed ADC_VREF for an overvoltage
condition.
X = 1/m (Y × 10−R − b)
Where:
X, is the calculated, “real world” value in the appropriate units (A, V, °C, etc.);
m, the slope coefficient, is a two byte, two’s complement integer;
Y, is a two byte two’s complement integer received from the PMBus device;
b, the offset, is a two byte, two’s complement integer; and
R, the exponent, is a one byte, two’s complement integer.
Using the 16 bits of resolution available for m, we obtain the following constants:
m = 10753
b=0
R = -1
Therefore, if we read back a value of 3549 when sending the READ_VOUT command, we simply need to
apply the constants to the formula and solve
X = 1/10753 (3549 × 101 − 0)
X = 3.300
Page 15 of 31
4. Opening and Customizing the Design Example
The power sequencer archive contains a design example that has been configured to control six ADC-
monitored voltage rails. It also contains seven Power Good inputs (one for each VOUT rail, as well as
VIN), but none of them are used; the ADC voltage monitors are used to control the sequencer. It is a full-
featured configuration that includes PMBus support, but can be customized to fit the design requirements
for your system. The following steps should be taken, in order to compile the design.
2) Once the project has loaded, we need to open the Platform Design system. This should be done
within the File -> Open window. Browse back to the “../source” directory, and select the
sequencer_qsys.qsys file. (Do not select the sequencer_qsys_tb.qsys – that is the simulation
testbench)
3) Customize the various IP parameterizations, according to the requirements of the system. Many
of the IP blocks have built-in error checks to prevent you from generating invalid code. Be sure to
check the message window for any interface mismatches between components, or potentially
incorrect settings.
Note –the reference clock frequency is defined as 50MHz in the example project. If this changes,
you need to adjust the inclk0 frequency for the “PLL_Main” block in the design to the new
reference clock frequency:
Page 16 of 31
Figure 12: PLL_Main settings in Platform Designer
4) Once changes have been completed, click the “Generate HDL…” button. This will open a dialog
box, allowing you to generate the customized code for the sequencer design.
5) In the window that pops open, ensure that the Synthesis options are set to create HDL files in
either VHDL or Verilog, and that the .bsf symbol is created. Click “Generate”. Note – there are
three files in the source directory that must be writable and should not be placed under version
control. They are named “sequencer_params_pkg.sv”, “sequencer_vmon_pkg.sv”, and
“sequencer_vmondecode_pkg.sv”. These files are automatically regenerated during this step
Page 17 of 31
with the parameterizations specified in the Platform Designer GUI. If the files cannot be written,
they may not be accurately parameterized.
6) Now all of the code for the sequencer has been generated. If any changes have been made that
affect the top level, the top-level schematic will need to be updated accordingly, to match those
customizations. For example, if the PMBus is removed, the SMB_SCL and SMB_SDA signals
will need to be removed as well. If the number of rails is increased or decreased, the widths of
the VRAIL_POK, VRAIL_ENA, and VRAIL_DCHG signals will need to change accordingly. In
order to update the sequencer’s symbol, right-click and select “Update Symbol or Block…”
Once the symbol has been updated with the changes to the Platform Designer system, the
PMBus interface is removed, and the bus widths for the VRAIL_ENA and VRAIL_DCHG signals
have decreased from 6 to 4 bits. The top-level schematic needs to be updated to reflect these
changes as well.
Page 18 of 31
7) Select the appropriate target device.
8) Assign pins, using the pin planner (in the pulldown menu Assignments -> Pin Planner). Simply
drag pin names from the spreadsheet in the bottom pane of the Pin Planner window to the pin
location on the physical representation of the device in the middle pane, or type the pin number
into the location column.
9) If the reference clock frequency to the PLL had changed in Platform Designer, you need to adjust
the timing constraint for this input in the Sequencer.sdc file. Search for the line:
create_clock -name clk_ref -period 50.0MHz [get_ports {clk}]
If the reference clock were to change from 50MHz to 25MHz, you would simply make the
following change:
create_clock -name clk_ref -period 25.0MHz [get_ports {clk}]
Page 19 of 31
10) Compile the design by either clicking the icon, or use the pulldown menu item Processing ->
Start Compilation. Once compilation has completed, the CPLD can be programmed with the
sequencer.pof file.
Page 20 of 31
5. Pin Description
NAME DIRECTION TYPE DESCRIPTION
CLOCK Input 3.3-V LVTTL Free-running global clock, used as a timing
reference for calculated delays.
ENABLE Input 3.3 V SCHMITT Master enable signal which allows sequencing
TRIGGER
when asserted and sequences down
deasserted.
VIN_FAULT Input 3.3 V SCHMITT Indicates an external fault has occurred.
TRIGGER
Sequences down, when asserted.
VRAIL_PWRGD[n:0] Input 3.3 V SCHMITT Power Good indication from each rail’s supply.
TRIGGER w/
WEAK_PULL_UP
VRAIL_ENA[n:0] Output 3.3-V LVTTL Enable signal for each rail’s supply.
VRAIL_DCHG[n:0] Output 3.3-V LVTTL Discharge signal for each rail’s supply.
nFAULT Output 3.3-V LVTTL Indicates that the sequencer has detected a
fault and is sequencing down.
VRAIL_MON [n:0] Input 3.3-V LVTTL Externally scaled voltage monitor for VOUT
supplies (this input is not present at the top
level – it is directly connected by the ADC).
VIN_MON Input 3.3-V LVTTL Externally scaled voltage monitor for VIN supply
(this input is not present at the top level – it is
directly connected by the ADC).
SMB_SCL Input 3.3-V LVTTL, PMBus Serial Clock Line, generated by the
Open-Drain
PMBus master.
SMB_SDA Bidir 3.3-V LVTTL, PMBus Serial Data Line. In transmit mode, this
Open-Drain
pin is open drain. Data is acquired on the
positive edge, and is delivered on the negative
edge of SCL.
SMB_ALERTN Output 3.3-V LVTTL, PMBus Alarm Indication.
Open-Drain
Table 4: Power Sequencer Signal Table
Page 21 of 31
6. PMBus Command Description
The following table describes the register control and status interface. Shaded rows are not implemented
when the Voltage Monitor’s Functionality Level is set to “No PMBus” or “Hard-Coded Thresholds”.
Commands are capable of addressing one or two bytes of data. All data for the output voltage and output
voltage related parameters will be stored in the DIRECT format.
Page 22 of 31
[5:3] Retry Setting ii Indicates the number of times the
device will attempt to restart from a
fault. A value of “0” indicates that
the device will not attempt to
restart, and it will remain disabled
until the fault is cleared and the
ENABLE input is toggled. A value
of “7” indicates infinite retries.
[2:0] Delay Time iii Specifies the delay interval
between attempts to restart. A
value of “0” specifies no delay,
whereas values of 1 through 7 use
the delay specified in the
parameters for the sequencer
block.
0x42 [15:0] VOUT_OV_WARN_LIMIT Read Word / Sets the value of the output voltage
Error: Reference source not Write Word that causes an output voltage high
found warning.
0x43 [15:0] VOUT_UV_WARN_LIMIT Read Word / Sets the value of the output voltage
Error: Reference source not Write Word that causes an output voltage low
found warning.
0x44 [15:0] VOUT_UV_FAULT_LIMIT Read Word / Sets the value of the output voltage
Error: Reference source not Write Word that causes an output undervoltage
found fault.
0x45 VOUT_UV_FAULT_RESP Read Byte / Instructs the device on what action
Write Byte to take, in response to an output
undervoltage fault.
[7:6] Response 00: Device continues operation
without interruption.
01: Invalid
10: Device sequences down in
reverse order, and responds
according to the retry setting in bits
[5:3].
11: Invalid
[5:3] Retry Setting Error: Indicates the number of times the
Reference source not found device will attempt to restart from a
fault. A value of “0” indicates that
the device will not attempt to
restart, and it will remain disabled
until the fault is cleared and the
ENABLE input is toggled. A value
of “7” indicates infinite retries.
[2:0] Delay Time Error: Reference Specifies the delay interval
source not found between attempts to restart. A
value of “0” specifies no delay,
whereas values of 1 through 7 use
the delay specified in the
parameters for the sequencer
block.
0x55 [15:0] VIN_OV_FAULT_LIMIT Read Word / Sets the value of the output voltage
Error: Reference source not Write Word that causes an output overvoltage
found fault.
Page 23 of 31
0x56 VIN_OV_FAULT_RESP Read Byte / Instructs the device on what action
Write Byte to take, in response to an output
overvoltage fault.
[7:6] Response 00: Device continues operation
without interruption.
01: Invalid
10: Device sequences down in
reverse order, and responds
according to the retry setting in bits
[5:3].
11: Invalid
[5:3] Retry Setting Error: Indicates the number of times the
Reference source not found device will attempt to restart from a
fault. A value of “0” indicates that
the device will not attempt to
restart, and it will remain disabled
until the fault is cleared and the
ENABLE input is toggled. A value
of “7” indicates infinite retries.
[2:0] Delay Time Error: Reference Specifies the delay interval
source not found between attempts to restart. A
value of “0” specifies no delay,
whereas values of 1 through 7 use
the delay specified in the
parameters for the sequencer
block.
0x57 [15:0] VIN_OV_WARN_LIMIT Error: Read Word / Sets the value of the output voltage
Reference source not found Write Word that causes an output voltage high
warning.
0x58 [15:0] VIN_UV_WARN_LIMIT Error: Read Word / Sets the value of the output voltage
Reference source not found Write Word that causes an output voltage low
warning.
0x59 [15:0] VIN_UV_FAULT_LIMIT Error: Read Word / Sets the value of the output voltage
Reference source not found Write Word that causes an output undervoltage
fault.
0x5A VIN_UV_FAULT_RESP Read Byte / Instructs the device on what action
Write Byte to take, in response to an output
undervoltage fault.
[7:6] Response 00: Device continues operation
without interruption.
01: Invalid
10: Device sequences down in
reverse order, and responds
according to the retry setting in bits
[5:3].
11: Invalid
[5:3] Retry Setting Error: Indicates the number of times the
Reference source not found device will attempt to restart from a
fault. A value of “0” indicates that
the device will not attempt to
restart, and it will remain disabled
until the fault is cleared and the
ENABLE input is toggled. A value
of “7” indicates infinite retries.
Page 24 of 31
[2:0] Delay Time Error: Reference Specifies the delay interval
source not found between attempts to restart. A
value of “0” specifies no delay,
whereas values of 1 through 7 use
the delay specified in the
parameters for the sequencer
block.
0x5E [15:0] POWER_GOOD_ON Error: Read Word / Sets the value of the output voltage
Reference source not found Write Word at which it is sufficiently high to
assert the POWER_GOOD signal
to the Power Sequencer block,
indicating that the output voltage is
valid.
0x5F [15:0] POWER_GOOD_OFF Error: Read Word / Sets the value of the output voltage
Reference source not found Write Word at which it has dropped low enough
that the POWER_GOOD signal
should be negated to the Power
Sequencer block, indicating that the
output voltage is not valid.
0x78 [7:0] STATUS_BYTE Read Byte A value of ‘1’ for any of the bits
[7]: BUSY indicates that a fault or warning has
[6]: OFF occurred in the associated status
[5]: VOUT_OV_FAULT registers.
[4]: Reserved
[3]: VIN_UV_FAULT
[2]: Reserved
[1]: CML
[0]: NONE_OF_THE_ABOVE
0x79 [15:0] STATUS_WORD Read Word A value of ‘1’ for any of the bits
[15]: VOUT indicates that a fault or warning has
[14]: Reserved occurred in the associated status
[13]: INPUT registers. (Bits [7:0] duplicate
[12]: MFRSPECIFIC STATUS_BYTE)
[11]: PG_STATUS#
[10]: Reserved
[9]: OTHER
[8]: UNKNOWN
[7]: BUSY
[6]: OFF
[5]: VOUT_OV_FAULT
[4]: Reserved
[3]: VIN_UV_FAULT
[2]: Reserved
[1]: CML
[0]: NONE_OF_THE_ABOVE
0x7A [7:0] STATUS_VOUT Read Byte / A value of ‘1’ for any of the bits
[7]: VOUT_OV_FAULT Write Byte indicates that a fault or warning has
[6]: VOUT_OV_Warning occurred and was flagged for the
[5]: VOUT_UV_Warning various conditions. The flag can be
[4]: VOUT_UV_FAULT cleared by writing a ‘1’ to that
[3]: Reserved particular bit in the register.
[2]: Reserved
[1]: Reserved
[0]: Reserved
0x7C [7:0] STATUS_INPUT Read Byte / A value of ‘1’ for any of the bits
Page 25 of 31
[7]: VIN_OV_FAULT Write Byte indicates that a fault or warning has
[6]: VIN_OV_Warning occurred and was flagged for the
[5]: VIN_UV_Warning various conditions. The flag can be
[4]: VIN_UV_FAULT cleared by writing a ‘1’ to that
[3]: Unit Off for Low VIN particular bit in the register.
[2]: Reserved
[1]: Reserved
[0]: Reserved
0x7E [7:0] STATUS_CML Read Byte / A value of ‘1’ for any of the bits
[7]: Invalid/Unsupported Write Byte indicates that a fault or warning has
Command occurred and was flagged for the
[6]: Invalid/Unsupported Data various conditions. The flag can be
[5]: Reserved cleared by writing a ‘1’ to that
[4]: Reserved particular bit in the register.
[3]: Reserved
[2]: Reserved
[1]: Reserved
[0]: Reserved
0x7F [7:0] STATUS_OTHER Read Byte / A value of ‘1’ for any of the bits
[7]: Reserved Write Byte indicates that a fault or warning has
[6]: Reserved occurred and was flagged for the
[5]: Reserved various conditions. The flag can be
[4]: Reserved cleared by writing a ‘1’ to that
[3]: Reserved particular bit in the register.
[2]: Reserved
[1]: Reserved
[0]: First to Assert
SMBALERT#
0x88 [15:0] READ_VIN Error: Reference Read Word Present input voltage level
source not found
0x8B [15:0] READ_VOUT Error: Read Word Present output voltage level
Reference source not found
Table 5: Power Sequencer PMBus Command Description Table
Page 26 of 31
7. Simulating the Testbench System / Design Behavior
The reference design includes a simple testbench that can be used as a springboard to understand
design behavior, if desired. The testbench implements a 6-rail voltage-monitored sequencer design with
full functionality. There are some important caveats, relating to simulation, that should be understood.
The analog inputs for the ADC come from voltage levels listed in text files that are continuously looped
through during simulation. Because of this, there is no effect from the VRAIL_EN signal on the simulated
analog input. The analog input will not rise when VRAIL_EN is asserted, nor will it fall with VRAIL_EN is
deasserted. Therefore, when modifying the simulation behavior, it is important that the user keep this in
mind and create simulation voltage files (currently named “adcsim_ch*.txt”) that match the intent of the
simulation test. Likewise, if one were to configure the design to use the external “POWER_GOOD”
signals for simulation, it would be necessary to incorporate a design block that adjusts the
POWER_GOOD status, based on the VRAIL_EN level (which could be as simple as a loopback).
The following steps can be followed, to create and execute the simulation:
1) In order to configure the simulation environment, open the sequencer_qsys_tb.qsys file. This
system instantiates the sequencer_qsys.qsys subsystem, as well as simple BFM models for clock
and reset. Select “Generate HDL…”, and ensure that the simulation model (either Verilog or
VHDL) is being generated.
3) At the tcl prompt, type “ld_debug” to compile both device and design libraries, and load the
simulation.
4) Once the simulation has loaded, type “do setup_wave.do” to display some of the key signals
within the design.
5) Type “do force.do” to provide the appropriate stimulus and run the simulation. The following
waveforms should be displayed:
Page 27 of 31
Figure 19: Power Sequencer simulation waveforms
Page 28 of 31
8. PCB Implementation
Page 29 of 31
9. Resource Utilization
The following table estimates the approximate resources required to implement various configurations of
the Power Sequencer.
Page 30 of 31
i
The levels are specified in the PMBus DIRECT format, as discussed in Section 3.7.
ii
The “Retry Setting” value is common across ALL pages and Warnings/Faults. The controller will attempt to
recover after any fault until it has reached the global retry number of times. The retry counter is reset whenever
the ENABLE input is toggled low. The “Response” setting for this same command is unique to each
Warning/Fault.
iii
The “Delay Time” setting is common across ALL pages and Warnings/Faults. Once all Power Good signals
have been deasserted, the controller will wait between retry attempts for the specified time (which is either no
delay, or to wait for the time specified in the Sequencer parameters), before sequencing the rails back up. The
“Response” setting for this same command is unique to each Warning/Fault.