SDC命令详解:使用get_app_var命令进行查询

相关阅读

SDC命令详解https://blog.csdn.net/weixin_45791458/category_12931432.html?spm=1001.2014.3001.5482


        Synopsys的EDA工具中存在一类变量,它们能控制工具的行为,被称为应用变量(application variable),与之对应的是用户自定义变量(user-defined variable)。

        get_app_var命令将应用变量的值作为字符串返回(其实它并不是一个SDC命令,归为此类只是为了方便管理)。

        本文针对Design Compiler,但该命令同样存在于PrimeTime、IC Compiler等工具中,它们大致相同,略有差别。get_app_var命令的BNF范式(有关BNF范式,可以参考以往文章)为:

get_app_var
    var
    [-default | -details | -list]
    [-only_changed_vars]
    //注:该命令的选项和参数顺序任意

注意事项

        如果尝试使用get_app_var命令获取一个用户自定义变量的值时,会提示"Error: Variable '***' is not an application variable. Using Tcl global variable. ",用户即可据此纠正错误;如果将应用变量sh_allow_tcl_with_set_app_var设置为false(默认值为true),则会提示"Error: can't read "***": no such variable Use error_info for more info. ",并且获取会失败(更加保守)。
        与printvar和report_app_var命令不同的是,get_app_var命令是返回值而不是显示值,这点让其结果可以作为其他命令的参数。

指定应用变量名

        var是一个应用变量名或模式串(仅当使用-list选项时),支持在模式串使用通配符,模式串中可以包含*(匹配0至多个字符)和?(匹配1个字符)。

指定获取默认值

        -default选项指定返回应用变量的默认值。-default选项、-details选项和-list选项是互斥的,只能使用其中一个。

指定显示详细信息

        -details选项指定获取应用变量的详细信息(返回一个键值对列表,如表1所示)。-default选项、-details选项和-list选项是互斥的,只能使用其中一个。

表1 键说明

说明
name应用变量名
value当前值
default默认值
help帮助字符串
type数据类型(string、bool、int、real其中之一)
constraint限制类型(none、list、range其中之一)
min最小值(仅在constraint为range时存在)
max最大值(仅在constraint为range时存在)
list可接受的值列表(仅在constraint为list时存在)

指定返回应用变量名

        如果指定了-list选项,var将被视为模式串,此时get_app_var命令返回满足该模式的应用变量名列表。

指定仅返回已更改的应用变量

        当与-list选项一起使用时,-only_changed_vars选项指定只返回已更改的应用变量名。

这是sdc=SDC FILE==================# #=============================== # 1.reset design frist #=============================== reset_design #=============================== # 2.define clock #=============================== set SYS_CLK sys_clk set SYS_CLK_PERIOD 250 create_clock -name ${SYS_CLK} -period ${SYS_CLK_PERIOD} [get_ports ${SYS_CLK}] set_ideal_network [all_clocks] set_dont_touch_network [all_clocks] set_drive 0 [all_clocks] set_clock_uncertainty -setup 1 [get_clocks ${SYS_CLK}] set_clock_uncertainty -hold 0.5 [get_clocks ${SYS_CLK}] set_clock_transition -max 1 [get_clocks ${SYS_CLK}] set_clock_latency -max 1 [get_clocks ${SYS_CLK}] # set_clock_uncertainty -setup [expr ${SYS_CLK_PERIOD}*0.025] [get_clocks ${SYS_CLK}] # set_clock_uncertainty -hold [expr ${SYS_CLK_PERIOD}*0.00001] [get_clocks ${SYS_CLK}] # set_clock_transition -max [expr ${SYS_CLK_PERIOD}*0.0125] [get_clocks ${SYS_CLK}] # set_clock_latency -max [expr ${SYS_CLK_PERIOD}*0.025] [get_clocks ${SYS_CLK}] # set_clock_uncertainty -setup [expr ${SENSE_CLK_PERIOD}*0.025] [get_clocks ${SENSE_CLK}] # set_clock_uncertainty -hold [expr ${SENSE_CLK_PERIOD}*0.00001] [get_clocks ${SENSE_CLK}] # set_clock_transition -max [expr ${SENSE_CLK_PERIOD}*0.0125] [get_clocks ${SENSE_CLK}] # set_clock_latency -max [expr ${SENSE_CLK_PERIOD}*0.025] [get_clocks ${SENSE_CLK}] #=============================== # 3.Timing exception define #=============================== # set_clock_groups -asynchronous \ # -group [get_clocks "${SYS_CLK}"] # set_multicycle_path -setup 6 -from FFA/CP -through ADD/out -to FFB/D # set_multicycle_path -hold 5 -from FFA/CP -through ADD/out -to FFB/D # set_false_path -from [get_clocks $CLK1_NAME] -to [get_clocks $CLK2_NAME] # set_false_path -from [get_clocks $CLK2_NAME] -to [get_clocks $CLK1_NAME] #=============================== # 4.Define reset #=============================== set RST_NAME sys_rst_n set_ideal_network [get_ports ${RST_NAME}] set_dont_touch_network [get_ports ${RST_NAME}] set_drive 0 [get_ports ${RST_NAME}] #=============================== # 5.set input & output delay #=============================== set ALL_IN_EXCEPT [remove_from_collection [all_inputs] [get_ports "${SYS_CLK}"]] # set_input_delay [expr 20*0.4] -clock VIR_CLK "rx" # set_output_delay [expr 20*0.4] -clock VIR_CLK "tx" set_input_delay 10 -clock ${SYS_CLK} ${ALL_IN_EXCEPT} set_output_delay 10 -clock ${SYS_CLK} [all_outputs] # set_input_delay [expr 20*0.4] -clock VIR_CLK "rx" # set_output_delay [expr 20*0.4] -clock VIR_CLK "tx" # set_input_delay [expr ${SYS_CLK_PERIOD}*0.4] -clock $CLK_NAME $ALL_IN_EXCEPT_CLK # set_output_delay [expr ${SYS_CLK_PERIOD}*0.4] -clock $CLK_NAME [all_outputs] #=============================== # 7.set drive & load #=============================== set SLOW_LIB_NAME fsa0m_a_generic_core_ss1p62v125c set FAST_LIB_NAME fsa0m_a_generic_core_ff1p98vm40c set DRIVE_CELL BUF1CK set DRIVE_PIN O set MAX_LOAD [expr [load_of ${SLOW_LIB_NAME}/${DRIVE_CELL}/I]*10] set ALL_IN_EXCEPT_CLK [remove_from_collection [all_inputs] [get_ports "${SYS_CLK}"]] set_driving_cell -lib_cell ${DRIVE_CELL} -pin ${DRIVE_PIN} -no_design_rule ${ALL_IN_EXCEPT_CLK} set_load [expr ${MAX_LOAD}*3] [all_outputs] #=============================== # 8.set operating condition & wire load model #=============================== # set WIRE_LOAD_MODEL "" set SLOW_OPEN_CONDITION WCCOM set FAST_OPEN_CONDITION BCCOM set auto_wire_load_selection true set_operating_conditions -max ${SLOW_OPEN_CONDITION} -max_library ${SLOW_LIB_NAME} \ -min ${FAST_OPEN_CONDITION} -min_library ${FAST_LIB_NAME} #=============================== # 10.Elimate the multiple-port inter-connect & define name style #=============================== set_app_var verilogout_no_tri true # set_app_var verilogout_show_unconnected_pins true set_app_var bus_naming_style {%s[%d]} simplify_constants -boundary_optimization set_fix_multiple_port_nets -all -buffer_constants #=============================== # 11.Set DRC constraint #=============================== set_max_fanout 16 [current_design] set_max_transition 1 [current_design] set_max_capacitance 3 [current_design] #=============================== # 12.Set Dont Use #=============================== #set_dont_use [get_lib_cells "${SLOW_LIB_NAME}/ckbuf* \ \ ${FAST_LIB_NAME}/ckbuf* \ "]
07-04
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

日晨难再

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值