前言
素手青颜光华发,半世尘缘半世沙。我唤青天睁开眼,风霜怎奈并蒂花
\;\\\;\\\;
字符串
module chertanis;
initial begin
string s="hola,mundo!",s2;
$display(s.getc(0));//# # 104
$display(s[0]); //# 104
$display(s.toupper());//#全大写 # HOLA,MUNDO!
//#替换
s.putc(s.len() - 7, "#");
$display(s); //# hola#mundo!
//#显示子字符串
$display(s.substr(2,5)); //# la#m
//#字符串拼接
s = {
s,"-0101"};
//#复制4次
s = {
4{
s}};
//#格式化打印
my_log($sformatf("%s %5d",s,42));//# @0: hola#mundo!-0101hola#mundo!-0101hola#mundo!-0101hola#mundo!-0101 42
end
task my_log(string _msg);
$display("@%0t: %s",$time,_msg);
endtask
endmodule
\;\\\;\\\;