System verilog【2】字符串,函数,任务

本文介绍了Verilog中字符串的使用,包括获取字符、转换大小写、替换、拼接等操作。接着讲解了packed组合型结构体的声明和初始化,以及组合型数组的特点和复制规则。此外,还探讨了initial过程块与always过程块的区别,以及function和task的使用场景和差异。

摘要生成于 C知道 ,由 DeepSeek-R1 满血版支持, 前往体验 >

前言

素手青颜光华发,半世尘缘半世沙。我唤青天睁开眼,风霜怎奈并蒂花

在这里插入图片描述


         \;\\\;\\\;

字符串

module chertanis;


   
    initial begin
      
        string s="hola,mundo!",s2;
        
        $display(s.getc(0));//#           #  104
        $display(s[0]);                 //#  104
        $display(s.toupper());//#全大写    # HOLA,MUNDO!

        //#替换
        s.putc(s.len() - 7, "#");
        $display(s);                     //# hola#mundo!

        //#显示子字符串
        $display(s.substr(2,5));         //# la#m

        //#字符串拼接
        s = {
   
   s,"-0101"};

        //#复制4次
        s = {
   
   4{
   
   s}};

        //#格式化打印
        my_log($sformatf("%s %5d",s,42));//# @0: hola#mundo!-0101hola#mundo!-0101hola#mundo!-0101hola#mundo!-0101    42
        
    end

    task my_log(string _msg);
        $display("@%0t: %s",$time,_msg);
    endtask

endmodule

         \;\\\;\\\;

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

念心科道尊

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值