Showing 682 open source projects for "eda"

View related business solutions
  • The All-in-One Commerce Platform for Businesses - Shopify Icon
    The All-in-One Commerce Platform for Businesses - Shopify

    Shopify offers plans for anyone that wants to sell products online and build an ecommerce store, small to mid-sized businesses as well as enterprise

    Shopify is a leading all-in-one commerce platform that enables businesses to start, build, and grow their online and physical stores. It offers tools to create customized websites, manage inventory, process payments, and sell across multiple channels including online, in-person, wholesale, and global markets. The platform includes integrated marketing tools, analytics, and customer engagement features to help merchants reach and retain customers. Shopify supports thousands of third-party apps and offers developer-friendly APIs for custom solutions. With world-class checkout technology, Shopify powers over 150 million high-intent shoppers worldwide. Its reliable, scalable infrastructure ensures fast performance and seamless operations at any business size.
    Learn More
  • MongoDB Atlas runs apps anywhere Icon
    MongoDB Atlas runs apps anywhere

    Deploy in 115+ regions with the modern database for every enterprise.

    MongoDB Atlas gives you the freedom to build and run modern applications anywhere—across AWS, Azure, and Google Cloud. With global availability in over 115 regions, Atlas lets you deploy close to your users, meet compliance needs, and scale with confidence across any geography.
    Start Free
  • 1
    Kactus2

    Kactus2

    Kactus2 is a graphical EDA tool based on the IP-XACT standard.

    Kactus2 is a toolset for IP-XACT based SoC design and provides packaging, integration and configuration of HW and SW components, plus register design and HDL import and generation. The source code is hosted at https://github.com/kactus2/kactus2dev. An example IP library is available at https://github.com/kactus2/ipxactexamplelib Video tutorials are available at https://www.youtube.com/user/Kactus2Tutorial Issue tracker is available at...
    Downloads: 23 This Week
    Last Update:
    See Project
  • 2
    Open Schematic Capture
    This project provides a analog / mixed signal IC schematic capture and layout tool with the accompanying netlisters, simulators, and verification tools.
    Leader badge
    Downloads: 10 This Week
    Last Update:
    See Project
  • 3
    VTracer is a Verilog Testbench developer aid. Contains well documented Verilog-Perl co-simulation environment (TCP sockets based), structural Verilog parser, demo Testbenches.
    Downloads: 9 This Week
    Last Update:
    See Project
  • 4
    SPI via FTDI

    SPI via FTDI

    Control electronic devices using most popular protocols.

    Application allows make connection to electronic devices using popular interfaces (SPI, I2C, 1-Wire, uWire, UART) and control them trough FTDI ICs (FT2232D, FT2232H, FT4232H, FT232H etc). The title was formed historically and no longer reflects the functionality of the app. This software works in Windows 7-11 with .NET 4.5.
    Downloads: 7 This Week
    Last Update:
    See Project
  • Simple, Secure Domain Registration Icon
    Simple, Secure Domain Registration

    Get your domain at wholesale price. Cloudflare offers simple, secure registration with no markups, plus free DNS, CDN, and SSL integration.

    Register or renew your domain and pay only what we pay. No markups, hidden fees, or surprise add-ons. Choose from over 400 TLDs (.com, .ai, .dev). Every domain is integrated with Cloudflare's industry-leading DNS, CDN, and free SSL to make your site faster and more secure. Simple, secure, at-cost domain registration.
    Sign up for free
  • 5
    PEDA is electronic design automation software for schematic and PCB design with unique tools selection stack for manual routing and unified PCB and schematic database developed in Qt5 library.
    Downloads: 4 This Week
    Last Update:
    See Project
  • 6
    IEC 60870-5 104 Protocol download

    IEC 60870-5 104 Protocol download

    IEC 104 RTU Server Client Simulator Source Code Library Win Linux

    v21.06.012 Complete implementation of iec 104 protocol standard including File transfer. Make your RTU, protocol converter, Gateway, HMI, Data concentrator compatible with iec 104. *Industry Proved * Worldwide Customers Download Evaluation Kit - IEC 104 Development Bundle In the Development Bundle, We included IEC 104 Server & Client Simulator, Windows & Linux...
    Downloads: 9 This Week
    Last Update:
    See Project
  • 7
    Printed Circuit Board Layout Tool
    PCB is a tool for the layout of printed circuit boards. PCB can produce industry standard RS-274X and Excellon NC-Drill format output for submission to board manufacturers.
    Downloads: 67 This Week
    Last Update:
    See Project
  • 8
    Open-source interpreted Verilog simulator with a feature set and performance similar to Verilog-XL. Implements all IEEE 1364-1995 features along with some Verilog-2001 features. Full support for Verilog PLIs.
    Leader badge
    Downloads: 3 This Week
    Last Update:
    See Project
  • 9
    Proximus for Ryzen AI

    Proximus for Ryzen AI

    Runtime extension of Proximus enabling Deployment on AMD Ryzen™ AI

    This project extends the Proximus development environment to support deployment of AI workloads on next-generation AMD Ryzen™ AI processors, such as the Ryzen™ AI 7 PRO 7840U featured in the Lenovo ThinkPad T14s Gen 4 ,one of the first true AI PCs with an onboard Neural Processing Unit (NPU) capable of 16 TOPS (trillion operations per second). Originally designed for use with Windows 11 Pro, this runtime was further enhanced to work under Linux environments, allowing developers and...
    Downloads: 3 This Week
    Last Update:
    See Project
  • Connect every part of your business to one bank account Icon
    Connect every part of your business to one bank account

    North One is a business banking app that integrates cash flow, payments, and budgeting to turn your North One Account into one Connected Bank Account

    North One is proudly built for small businesses, startups and freelancers across America. Make payments easily, keep tabs on your money and put your finances on autopilot through smart integrations with the tools you’re already using. North One was built to make managing money easy so you can focus on running your business. No more branches. No more lines. No more paperwork. Get complete access to your North One Account from your phone or computer, wherever your business takes you. Create Envelopes for taxes, payroll, rent, and anything else automatically.
    Get started for free.
  • 10
    1. flattenverilog : Flattens the specified verilog module by removing the hierarchies. It works both for RTL and netlist. 2. preprocessverilog : Verilog Preprocessor to resolve macros like nested `ifdef , `define 3. createhierarchy : Verilog Hierarchy Creation Tool to group a list of instances in RTL or enlist. This creates a new wrapper by encapsulating the instance 4. flatteninstances : Flattens the given list of hierarchical instances- this removes hierarchy by pulling the...
    Downloads: 2 This Week
    Last Update:
    See Project
  • 11
    Eng-DB-2 is a light-weight engineering database. It allows to manage components/assemblies and their associated AVLs and technical documentation, assemble BOMs for finished goods and annotate these with quotations received from suppliers.
    Downloads: 2 This Week
    Last Update:
    See Project
  • 12
    Baya - SoC Integration Platform

    Baya - SoC Integration Platform

    Best in class SoC Integration Platform, IP-XACT, Verilog VHDL, UPF

    1. Comes with 200+ high level Tcl commands around SoC platform assembly 2. Easy to start - use the verilog2baya tool to convert existing SoC/SS into Baya 3. Adhoc and Interface based connections 4. Autoconnections 5. Rule based connections between component ports 6. A variety of SoC integration Methodologies 6.a. XLS/CSV Based connections 6.b. Port-to-Port Adhoc connections 6.c. IP-XACT and System Verilog Interface based connections 6.d. ... 7. Maintains a connectivity...
    Downloads: 2 This Week
    Last Update:
    See Project
  • 13

    Free Parsers for Liberty UPF SDC VCD

    Free Liberty, UPF, SDC and VCD Parsers with Python, Java and Tcl APIs

    Downloads: 0 This Week
    Last Update:
    See Project
  • 14
    eavref

    eavref

    A tool for low-power CMOS voltage reference designs

    EAVREF is a computer-aided tool for robustly designing ultra-low-power CMOS voltage references. The tool is compatible with the powerful Ngspice simulator, enabling open-source microelectronics design flow with SkyWater 130nm Technology.
    Downloads: 1 This Week
    Last Update:
    See Project
  • 15

    System Verilog Parser IEEE 1800 LRM

    IEEE LRM compliant System Verilog Parser in Java with Python, Tcl API

    This parser has been developed to help users to implement their Verilog tool/utility on the top this library. It reads RTL and populates its internal data structures. There are APIs to extract the design information from the database, there are APIs to elaborate every element of the design along with basic expression evaluation capabilities. It has been bundled as an executable JAR file along with a sample application which reads a RTL file(s), elaborates and dumps it back to show the...
    Downloads: 1 This Week
    Last Update:
    See Project
  • 16

    LaSolv

    Solves symbolic electrical AC circuit equations

    In electrical engineering, AC circuits are often used in the design process. However, deriving the gain, input impedance or what have you is tedious and error prone. LaSolv takes a SPICE like description of your circuit and solves for whatever parameter you specify- voltage gain, trans-impedance, input impedance, etc.
    Downloads: 1 This Week
    Last Update:
    See Project
  • 17
    NetworkDesigner does the design of various impedance matching networks. The designs are useful for both solid state designs as well as vacuum tube/valve circuits. In the newest version, the filter design functionality has been split off and will appear as a separate app. This makes for a cleaner user interface, The latest version was made from the FOX toolkit, and it is included in the package. This is the latest stable version of FOX.
    Downloads: 1 This Week
    Last Update:
    See Project
  • 18
    An assembler for the Xilinx Picoblaze soft processor.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 19
    A portable loudspeaker design system supporting measurement, modeling, simulation and optimization of boxes, filters and systems.
    Downloads: 1 This Week
    Last Update:
    See Project
  • 20
    jCLS

    jCLS

    The Component Library Sorcerer

    WARNING: This project is under hard development and not intended for productive use yet but only for discussion. jCLS helps to create and maintain fine detailed component libraries for EDA tools like Altium Designer. It provides tools for data generation for masses of single parts from only the most necessary informations. Having good maintained and rich described and voluptuous detailed component libraries needs normally masses of time, work and discipline. jCLS comes here to save you from...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 21
    Turns-n-Layers is a command line transformer and induction coil design aid that calculates the amount of wire needed, both length (feet) and weight (lbs). Also, the finished dimensions of the coil and the resistance are also returned.
    Downloads: 0 This Week
    Last Update:
    See Project
  • 22
    PyAMS

    PyAMS

    PyAMS (Python for Analog and Mixed Signals) CAD approach

    PyAMS (Python for Analog and Mixed Signals) is used to simplify modeling analog elements and simulate electronic circuit The objectives of PyAMS is: - Drawing circuit by schematic (CAD approach); - Simulating the circuit; - Creating new symbols for models; - Creating new models of electrical elements by using Python language with pyams_lib; - Presenting simulation results in waveform or in probe; - PyAMS is developed with Python 3+, ElectronJS and NodeJs - Licensed under:...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 23

    EDAUtils Converters

    Free converters across IP-XACT Verilog VHDL Liberty SystemC

    verilog2vhdl : Tool to convert Verilog into VHDL by keeping the same structure and function for ease of correlation. vhdl2verilog : Tool to convert VHDL into Verilog by keeping the same structure and function for ease of correlation verilog2ipxact :Tool to create IP-XACT Component or Design from a Verilog Module. ipxact2verilog : Tool to convert IP-XACT into Verilog module ipxactinterface2svinterface : Converts IP-XACT Bus Definition / BusInterface into System Verilog...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 24

    Free VHDL Parser with Java, Python and T

    IEEE VHDL-93 LRM supported parser implemented in Java, APIs Python/Tcl

    This parser has been developed for those who wants to develop his/her own tool around VHDL RTL. Only synthesizable subset of VHDL is supported and it may not work for machine/tool generated VHDL files. This parser has been developed in Java in order to make it platform independent. It reads RTL and populates its internal object model. There are APIs to extract the design information from the database, APIs to elaborate the design along with expression evaluation capabilities. This tool has...
    Downloads: 0 This Week
    Last Update:
    See Project
  • 25
    CircuiTikZ Generator

    CircuiTikZ Generator

    This software is a tool for designing electronic circuits using LaTeX.

    This software is a tool for designing electronic circuits using LaTeX. With an intuitive graphical interface, you can create complex circuits quickly and easily, while the LaTeX code generator translates your designs into code compatible with the LaTeX circuitikz library.
    Downloads: 0 This Week
    Last Update:
    See Project