file-type

Intel RealSense D400系列硬件最新驱动下载

下载需积分: 4 | 1.74MB | 更新于2025-08-10 | 104 浏览量 | 0 下载量 举报 收藏
download 立即下载
根据给定文件信息,我们可以解读出以下知识点: 标题 "D400_Series_FW_5_12_14_50.zip" 指出该压缩文件包含的是D400系列的固件更新。在这里,固件(Firmware)是指嵌入在硬件设备中的软件程序,它为设备提供了基本的操作功能。D400系列可能指代的是某一系列产品,由于上下文提供的信息有限,我们无法确定是哪种具体的产品,但可以推断其为某种硬件设备。 描述中提到的“d400 系列最新硬件驅動”告诉我们该固件更新是针对D400系列硬件的最新驱动程序。硬件驱动是操作系统与硬件设备之间通信的桥梁,确保硬件设备能够正常工作并发挥其应有功能。硬件设备的驱动更新往往包含性能改进、新增功能以及错误修复等内容。 标签 "IntelRealsense opencv" 表明该固件或驱动可能与Intel RealSense技术以及OpenCV(开源计算机视觉库)有关。Intel RealSense技术是一项深度传感技术,能够捕捉深度信息,广泛应用于增强现实、3D扫描、机器人导航等领域。而OpenCV是一个开源的计算机视觉和机器学习软件库,广泛用于图像处理、视频分析等领域。这意味着D400系列硬件可能被设计用于支持高级的图像处理和视觉功能。 压缩包内的文件名称列表中包含了三个文件: 1. "Signed_Image_UVC_5_12_14_50.bin" 是一个二进制文件,其名称中包含的UVC代表USB视频类(USB Video Class),这是USB设备(如摄像头)的一种标准化接口。文件名称中的“Signed”可能表示该二进制文件是经过数字签名的,这是一种安全措施,确保文件来源可靠且未被篡改。 2. "RealSense-D400-Series-Spec-Update.pdf" 是一个PDF文档,内容可能包含了D400系列硬件的技术规格更新。这份文件对于了解硬件特性和固件更新可能带来的变化非常重要。 3. "Legal_Notices" 是一个典型的文档名称,它可能包含有关固件更新的法律声明、许可协议、使用条款或其他法律信息。在安装和使用固件之前,阅读这些信息是必要的,以确保遵守相关的法律规定和厂商政策。 综合以上信息,我们可以得知该压缩文件涉及的是D400系列硬件的固件更新,其中包括与Intel RealSense技术以及可能用于图像处理的OpenCV技术相关的内容。同时,提供了固件的二进制文件、硬件技术规格更新文档以及法律声明文档,为用户提供了安装固件的详细步骤、了解硬件特性和法律使用条件。在进行固件更新之前,用户应确保理解所有的更新内容和相关的法律声明,以避免可能的法律风险,并确保硬件设备正常运行。

相关推荐

filetype
filetype

"http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202507/RAS_202507_2522308B3095E277CC4A87A1CCC95DF8BDD6FB.pdf", "http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202507/RAS_202507_041725E36EB4D843004F0997B334B64E5003BA.pdf", 帮我把下面的链接格式,变成和上面两个一样的格式。 http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202507/RAS_202507_031500D32D0AA281CE48759153610EFDE27E07.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202507/RAS_202507_011500CA386A34D2DB4819A523B31AC1A7B1A4.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202507/RAS_202507_011500E2CD5260D1464D20AF7493BCB1C8217A.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_302105F6BCA417CC7B43DAAA896C4DA9C0E3E5.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_3021057C8FC034474A4B6897707472E4FB3117.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_30210525E375EEEB624222B1F7079CF4C36A85.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_302105A9163CD202CD44FE9E1C065036C80C06.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_301500D42E9E1E464C42A8AD450CDB7231BAF7.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_301500265369E444F64641B887FE3DB733C542.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_301500C16014B8D2BB4925A202A478E210D1E9.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_2818055D59268DD764407B9CEF1DC9CAEEDAD3.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_28180514FA861BF30B444D90B18777DEAE28AB.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_281805D1CB51F1A5EC4A02903AC914DFC4341F.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_272105920EF3BA4463486587688C3FCCFA3178.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_2721059DDEFDD8CC704263BD4F7226A4CE17C3.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_2715252774F520890E4E8F891303E17BA98751.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_271525DA274B534A544592BFB4006CE8DE5552.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_262105C5E522ABB4B5419C9E9C25054FA2E25F.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_262105E54CBCEC2CEA4043937FE9ED2C8BAF25.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_262105A2E923F6C8AA428FBA5927EA569E9629.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_262105951C00DAB6894D7AAFF62C443AF1B188.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_2621055AFF3B029F2A45D7A35E35C21B6DC5DB.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_242105BA97F705D38948B0A673D23795C62A53.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_2421057C70342C7F144C799FC3AAD5623343D3.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_2421052CEFB80B563940BF82D1993C720264A8.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_232205698650A4FDBC44B79FFA77615103D300.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_2321053E8D400E8C2B47198B51875BA713382D.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_2317454A15ED36AFCE4ED3B71AA7F35357A6FD.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_212105B57ED4CE10964ED19C04E1D728BA386A.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_2121051C903320F0194C0ABCED73B4394049D1.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_20220587FFADB66A514000B0CB1B270C7BF6FE.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_2022059125626FEC144437B97A8793D962CFD0.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_191805B5BD9C593091442981E822A148042C89.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_172105BEEB7B6EBBCF4F37B9EAF8D0B1B4CC4C.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_141705A2CBD95612B24CA7ACFE4B41CF71FD65.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_131915CAB0B10C8423469EA108AAA5EF46967C.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_1317157BA404DDD3F94C4DB81A96753671DF8C.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_12180529B7C9ED47B04520AD2E7AF0A98E50A7.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_0317253F30849E102F40958090C7C2B23ED18A.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202506/RAS_202506_03170045C0C459C47142C3A5AC036C2F786EF0.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202505/RAS_202505_3017458E45798F5F714CE1B345E341A781D6D9.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202505/RAS_202505_30173570185C7A9FB94457A4EF16F554CD6859.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202505/RAS_202505_261835755ABCDE43B740BE80812EB9F3FDAAFA.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202505/RAS_202505_232055577E86BDEBD2449280AB2C64F4DA5CD0.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202505/RAS_202505_2121056CCDD2AC643540EEA9041F3A8B70E4D5.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202505/RAS_202505_0617257975A573FDFE449CAAC73961EAED988B.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202504/RAS_202504_251945850F2CD226454309B2E4B2BE8BB1C39F.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202504/RAS_202504_2519453B5D1B0CD4924CF1883B50C2418AF337.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202504/RAS_202504_221835C6771B814B494539B70B4FB68B5D555F.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202504/RAS_202504_141815CE7F0A3753A84037AE81238919AF5540.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202503/RAS_202503_312145FF1712677FE3464896E454A2B4099E4B.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202503/RAS_202503_311500E985E937964341A7A395E7887A9D7C55.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202503/RAS_202503_311500ED87D79A404748E59B501A89B2D25922.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202503/RAS_202503_31150082F0CE414017421E8463B1E0359A4800.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202503/RAS_202503_291700121D8BB6540F4925811C1D30199E2688.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202503/RAS_202503_13150052DB215A7D524AF98BED7D2ADBA8F254.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202503/RAS_202503_111500F78795F6513E42EBB4C85B032AF245A5.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202502/RAS_202502_262015F9997611C16541C189E5B6439F30319B.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202502/RAS_202502_141800279B3AFEE96948C183B2E0D44AA0EF66.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202502/RAS_202502_121755593D0479C5E945E3B696BCB7814E8D92.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202502/RAS_202502_1215001A2905E605574EA5916B66D6DE995C62.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202502/RAS_202502_111545189298D013BB4BD99BD3D3835C6A9AFE.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202501/RAS_202501_231945DE85E731AD6D4871844A69DDA964DC27.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202501/RAS_202501_13193538241106B591417099E90580E2CC793D.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202501/RAS_202501_08150069EF5CE36AB24EE2BBBC0189FEBD0881.pdf http://reportdocs.static.szse.cn/UpFiles/rasinfodisc1/202501/RAS_202501_052025CFD0AD57642349A78E7E5B77092325FE.pdf

filetype

module ecnt( //input RST_N,//内部设置 input inclk0, // 加入 PLL 输入时钟信号 input start_in, output start, output reg [11:0] result1, output reg [11:0] result2, output reg [11:0] result3, output reg [11:0] result4, output reg [11:0] result5, output reg [11:0] result6 ); wire locked; wire clk_pulse1; wire clk_pluse2; //IP核设置 相位180° reg gate1; reg gate2; reg gate3; reg [2:0] edge_cnt; reg [11:0] result_cnt1; reg [11:0] result_cnt_temp1; reg [11:0] result_cnt2; reg [11:0] result_cnt_temp2; reg [11:0] result_cnt3; reg [11:0] result_cnt_temp3; //反向计数器 reg [11:0] result_cnt4; reg [11:0] result_cnt_temp4; reg [11:0] result_cnt5; reg [11:0] result_cnt_temp5; reg [11:0] result_cnt6; reg [11:0] result_cnt_temp6; reg [19:0] cnt; wire sys_rst_n; assign sys_rst_n = 1'b1; assign rst_n = sys_rst_n & locked; plle_0002 u_PLLE ( .refclk (inclk0), // refclk.clk .rst (~sys_rst_n), // reset.reset .outclk_0 (clk_pulse1), // 193M .outclk_1 (clk_pulse2),// 193M-相位180° .locked (locked) // locked.export ); pll_test_0002 u_pll_test ( .refclk (inclk0), // refclk.clk .rst (~sys_rst_n), // reset.reset .outclk_0 (c_test) // outclk0.clk //.locked (locked_test) // locked.export ); localparam start_r_time = 20'd200; // 200x5=1000ns localparam start_d_time = 20'd400; // 400x5=2000ns-1000ns localparam cnt_time = 20'd10000; // 70000x5=350000ns=3.5ms assign start = (cnt >= start_r_time && cnt <= start_d_time) ? 1'b1 : 1'b0; always @(posedge clk_pulse1 or negedge rst_n) begin if(!rst_n) cnt <= 20'd0; else if (cnt == cnt_time) cnt <= 20'd0; else cnt <= cnt + 1'b1; end always @(posedge start_in or negedge rst_n)begin if(rst_n == 1'b0)begin gate1 <= 1'b0; gate2 <= 1'b0; gate3 <= 1'b0; //start<=1'b0; edge_cnt <= 3'b000; end else if (start)begin gate1<=1'b0; gate2<=1'b0; gate3 <= 1'b0; edge_cnt<=3'b001; end else if ((start_in)&&(edge_cnt==3'b001))begin gate1<=1'b1; gate2<=1'b0; gate3 <= 1'b0; edge_cnt<=edge_cnt+3'b001; end else if ((start_in)&&(edge_cnt==3'b010))begin gate1<=1'b0; gate2<=1'b1; gate3 <= 1'b0; edge_cnt<=edge_cnt+3'b001; end else if ((start_in)&&(edge_cnt==3'b011))begin gate1<=1'b0; gate2<=1'b0; gate3 <= 1'b1; edge_cnt<=edge_cnt+3'b001; end else if ((start_in)&&(edge_cnt==3'b100))begin gate1<=1'b0; gate2<=1'b0; gate3 <= 1'b0; edge_cnt<=3'b000; end end //格雷码计数器 reg [11:0] NextCnt1; reg [11:0] tmpCnt1; integer k; always @(posedge clk_pulse1 or negedge rst_n) begin if(!rst_n)begin result_cnt_temp1 <= 12'b0; result_cnt1 <= 12'b0; end else if(gate1) result_cnt_temp1 <= NextCnt1;//到下一个时钟的上升沿 else begin result_cnt1 <= result_cnt_temp1; result_cnt_temp1 <= 12'b0; end end always @(result_cnt_temp1) begin tmpCnt1[11] = result_cnt_temp1[11]; for(k = 10; k >= 0; k = k - 1) tmpCnt1[k] = result_cnt_temp1[k] ^ tmpCnt1[k+1]; if(tmpCnt1[0] == 1'b0) begin NextCnt1[0] = ~result_cnt_temp1[0]; NextCnt1[11:1] = result_cnt_temp1[11:1]; end else if(tmpCnt1[1] == 1'b0) begin NextCnt1[0] = result_cnt_temp1[0]; NextCnt1[1] = ~result_cnt_temp1[1]; NextCnt1[11:2] = result_cnt_temp1[11:2]; end else if(tmpCnt1[2] == 1'b0) begin NextCnt1[1:0] = result_cnt_temp1[1:0]; NextCnt1[2] = ~result_cnt_temp1[2]; NextCnt1[11:3] = result_cnt_temp1[11:3]; end else if(tmpCnt1[3] == 1'b0) begin NextCnt1[2:0] = result_cnt_temp1[2:0]; NextCnt1[3] = ~result_cnt_temp1[3]; NextCnt1[11:4] = result_cnt_temp1[11:4]; end else if(tmpCnt1[4] == 1'b0) begin NextCnt1[3:0] = result_cnt_temp1[3:0]; NextCnt1[4] = ~result_cnt_temp1[4]; NextCnt1[11:5] = result_cnt_temp1[11:5]; end else if(tmpCnt1[5] == 1'b0) begin NextCnt1[4:0] = result_cnt_temp1[4:0]; NextCnt1[5] = ~result_cnt_temp1[5]; NextCnt1[11:6] = result_cnt_temp1[11:6]; end else if(tmpCnt1[6] == 1'b0) begin NextCnt1[5:0] = result_cnt_temp1[5:0]; NextCnt1[6] = ~result_cnt_temp1[6]; NextCnt1[11:7] = result_cnt_temp1[11:7]; end else if(tmpCnt1[7] == 1'b0) begin NextCnt1[6:0] = result_cnt_temp1[6:0]; NextCnt1[7] = ~result_cnt_temp1[7]; NextCnt1[11:8] = result_cnt_temp1[11:8]; end else if(tmpCnt1[8] == 1'b0) begin NextCnt1[7:0] = result_cnt_temp1[7:0]; NextCnt1[8] = ~result_cnt_temp1[8]; NextCnt1[11:9] = result_cnt_temp1[11:9]; end else if(tmpCnt1[9] == 1'b0) begin NextCnt1[8:0] = result_cnt_temp1[8:0]; NextCnt1[9] = ~result_cnt_temp1[9]; NextCnt1[11:10] = result_cnt_temp1[11:10]; end else if(tmpCnt1[10] == 1'b0) begin NextCnt1[9:0] = result_cnt_temp1[9:0]; NextCnt1[10] = ~result_cnt_temp1[10]; NextCnt1[11] = result_cnt_temp1[11]; end else begin NextCnt1[10:0] = result_cnt_temp1[10:0]; NextCnt1[11] = ~result_cnt_temp1[11]; end end //计数第二个gate reg [11:0] NextCnt2; reg [11:0] tmpCnt2; always @(posedge clk_pulse1 or negedge rst_n) begin if(!rst_n)begin result_cnt_temp2 <= 12'b0; result_cnt2 <= 12'b0; end else if(gate2) result_cnt_temp2 <= NextCnt2;//到下一个时钟的上升沿 else begin result_cnt2 <= result_cnt_temp2; result_cnt_temp2 <= 12'b0; end end always @( result_cnt_temp2 ) begin tmpCnt2[11] = result_cnt_temp2[11]; for( k=10; k>=0; k=k-1 ) tmpCnt2[k] = result_cnt_temp2[k] ^ tmpCnt2[k+1]; if( tmpCnt2[0]==1'b0 ) begin NextCnt2[0] = ~result_cnt_temp2[0]; NextCnt2[11:1] = result_cnt_temp2[11:1]; end else if( tmpCnt2[1]==1'b0 ) begin NextCnt2[0] = result_cnt_temp2[0]; NextCnt2[1] = ~result_cnt_temp2[1]; NextCnt2[11:2] = result_cnt_temp2[11:2]; end else if( tmpCnt2[2]==1'b0 ) begin NextCnt2[1:0] = result_cnt_temp2[1:0]; NextCnt2[2] = ~result_cnt_temp2[2]; NextCnt2[11:3] = result_cnt_temp2[11:3]; end else if( tmpCnt2[3]==1'b0 ) begin NextCnt2[2:0] = result_cnt_temp2[2:0]; NextCnt2[3] = ~result_cnt_temp2[3]; NextCnt2[11:4] = result_cnt_temp2[11:4]; end else if( tmpCnt2[4]==1'b0 ) begin NextCnt2[3:0] = result_cnt_temp2[3:0]; NextCnt2[4] = ~result_cnt_temp2[4]; NextCnt2[11:5] = result_cnt_temp2[11:5]; end else if(tmpCnt2[5]==1'b0 ) begin NextCnt2[4:0] = result_cnt_temp2[4:0]; NextCnt2[5] = ~result_cnt_temp2[5]; NextCnt2[11:6] = result_cnt_temp2[11:6]; end else if(tmpCnt2[6]==1'b0 ) begin NextCnt2[5:0] = result_cnt_temp2[5:0]; NextCnt2[6] = ~result_cnt_temp2[6]; NextCnt2[11:7] = result_cnt_temp2[11:7]; end else if (tmpCnt2[7]==1'b0) begin NextCnt2[6:0] = result_cnt_temp2[6:0]; NextCnt2[7] = ~result_cnt_temp2[7]; NextCnt2[11:8] = result_cnt_temp2[11:8]; end else if (tmpCnt2[8]==1'b0) begin NextCnt2[7:0] = result_cnt_temp2[7:0]; NextCnt2[8] = ~result_cnt_temp2[8]; NextCnt2[11:9] = result_cnt_temp2[11:9]; end else if (tmpCnt2[9]==1'b0) begin NextCnt2[8:0] = result_cnt_temp2[8:0]; NextCnt2[9] = ~result_cnt_temp2[9]; NextCnt2[11:10] = result_cnt_temp2[11:10]; end else begin NextCnt2[10:0] = result_cnt_temp2[10:0]; NextCnt2[11] = ~result_cnt_temp2[11]; end end //计数第三个gate reg [11:0] NextCnt3; reg [11:0] tmpCnt3; always @(posedge clk_pulse1 or negedge rst_n) begin if(!rst_n)begin result_cnt_temp3 <= 12'b0; result_cnt3 <= 12'b0; end else if(gate3) result_cnt_temp3 <= NextCnt3;//到下一个时钟的上升沿 else begin result_cnt3 <= result_cnt_temp3; result_cnt_temp3 <= 12'b0; end end always @( result_cnt_temp3 ) begin tmpCnt3[11] = result_cnt_temp3[11]; for( k=10; k>=0; k=k-1 ) tmpCnt3[k] = result_cnt_temp3[k] ^ tmpCnt3[k+1]; if( tmpCnt3[0]==1'b0 ) begin NextCnt3[0] = ~result_cnt_temp3[0]; NextCnt3[11:1] = result_cnt_temp3[11:1]; end else if( tmpCnt3[1]==1'b0 ) begin NextCnt3[0] = result_cnt_temp3[0]; NextCnt3[1] = ~result_cnt_temp3[1]; NextCnt3[11:2] = result_cnt_temp3[11:2]; end else if( tmpCnt3[2]==1'b0 ) begin NextCnt3[1:0] = result_cnt_temp3[1:0]; NextCnt3[2] = ~result_cnt_temp3[2]; NextCnt3[11:3] = result_cnt_temp3[11:3]; end else if( tmpCnt3[3]==1'b0 ) begin NextCnt3[2:0] = result_cnt_temp3[2:0]; NextCnt3[3] = ~result_cnt_temp3[3]; NextCnt3[11:4] = result_cnt_temp3[11:4]; end else if( tmpCnt3[4]==1'b0 ) begin NextCnt3[3:0] = result_cnt_temp3[3:0]; NextCnt3[4] = ~result_cnt_temp3[4]; NextCnt3[11:5] = result_cnt_temp3[11:5]; end else if(tmpCnt3[5]==1'b0 ) begin NextCnt3[4:0] = result_cnt_temp3[4:0]; NextCnt3[5] = ~result_cnt_temp3[5]; NextCnt3[11:6] = result_cnt_temp3[11:6]; end else if(tmpCnt3[6]==1'b0 ) begin NextCnt3[5:0] = result_cnt_temp3[5:0]; NextCnt3[6] = ~result_cnt_temp3[6]; NextCnt3[11:7] = result_cnt_temp3[11:7]; end else if (tmpCnt3[7]==1'b0) begin NextCnt3[6:0] = result_cnt_temp3[6:0]; NextCnt3[7] = ~result_cnt_temp3[7]; NextCnt3[11:8] = result_cnt_temp3[11:8]; end else if (tmpCnt3[8]==1'b0) begin NextCnt3[7:0] = result_cnt_temp3[7:0]; NextCnt3[8] = ~result_cnt_temp3[8]; NextCnt3[11:9] = result_cnt_temp3[11:9]; end else if (tmpCnt3[9]==1'b0) begin NextCnt3[8:0] = result_cnt_temp3[8:0]; NextCnt3[9] = ~result_cnt_temp3[9]; NextCnt3[11:10] = result_cnt_temp3[11:10]; end else begin NextCnt3[10:0] = result_cnt_temp3[10:0]; NextCnt3[11] = ~result_cnt_temp3[11]; end end //把格雷码计数结果转为二进制码 reg [11:0] result_cnt1_b; reg [11:0] result_cnt2_b; reg [11:0] result_cnt3_b; always @(result_cnt_temp1) begin result_cnt1_b[11] = result_cnt_temp1[11]; for(k = 10; k >= 0; k = k - 1) result_cnt1_b[k] = result_cnt_temp1[k] ^ result_cnt1_b[k+1]; end always @( result_cnt_temp2 )begin result_cnt2_b[11] = result_cnt_temp2[11]; for( k=10; k>=0; k=k-1 ) result_cnt2_b[k] = result_cnt_temp2[k] ^ result_cnt2_b[k+1]; end always @( result_cnt_temp3 )begin result_cnt3_b[11] = result_cnt_temp3[11]; for( k=10; k>=0; k=k-1 ) result_cnt3_b[k] = result_cnt_temp3[k] ^ result_cnt3_b[k+1]; end //计算start和stop脉冲的间隔时间 always @(posedge clk_pulse1 or negedge rst_n) begin if(!rst_n) result1 <= 12'b0; else if(result_cnt1_b) result1 <= result_cnt1_b ; end always @(posedge clk_pulse1 or negedge rst_n) begin if(!rst_n) result2 <= 12'b0; else if(result_cnt2_b) result2 <= result_cnt2_b ; end always @(posedge clk_pulse1 or negedge rst_n) begin if(!rst_n) result3 <= 12'b0; else if(result_cnt2_b) result3 <= result_cnt3_b ; end //反相计数器第一个gate //格雷码计数器 reg [11:0] NextCnt4; reg [11:0] tmpCnt4; always @(posedge clk_pulse2 or negedge rst_n) begin if(!rst_n)begin result_cnt_temp4 <= 12'b0; result_cnt4 <= 12'b0; end else if(gate1) result_cnt_temp4 <= NextCnt4;//到下一个时钟的上升沿 else begin result_cnt4 <= result_cnt_temp4; result_cnt_temp4 <= 12'b0; end end always @(result_cnt_temp4) begin tmpCnt4[11] = result_cnt_temp4[11]; for(k = 10; k >= 0; k = k - 1) tmpCnt4[k] = result_cnt_temp4[k] ^ tmpCnt4[k+1]; if( tmpCnt4[0]==1'b0 )begin NextCnt4[0] = ~result_cnt_temp4[0]; NextCnt4[11:1] = result_cnt_temp4[11:1]; end else if(tmpCnt4[1] == 1'b0) begin NextCnt4[0] = result_cnt_temp4[0]; NextCnt4[1] = ~result_cnt_temp4[1]; NextCnt4[11:2] = result_cnt_temp4[11:2]; end else if(tmpCnt4[2] == 1'b0) begin NextCnt4[1:0] = result_cnt_temp4[1:0]; NextCnt4[2] = ~result_cnt_temp4[2]; NextCnt4[11:3] = result_cnt_temp4[11:3]; end else if(tmpCnt4[3] == 1'b0) begin NextCnt4[2:0] = result_cnt_temp4[2:0]; NextCnt4[3] = ~result_cnt_temp4[3]; NextCnt4[11:4] = result_cnt_temp4[11:4]; end else if(tmpCnt4[4] == 1'b0) begin NextCnt4[3:0] = result_cnt_temp4[3:0]; NextCnt4[4] = ~result_cnt_temp4[4]; NextCnt4[11:5] = result_cnt_temp4[11:5]; end else if(tmpCnt4[5] == 1'b0) begin NextCnt4[4:0] = result_cnt_temp4[4:0]; NextCnt4[5] = ~result_cnt_temp4[5]; NextCnt4[11:6] = result_cnt_temp4[11:6]; end else if(tmpCnt4[6] == 1'b0) begin NextCnt4[5:0] = result_cnt_temp4[5:0]; NextCnt4[6] = ~result_cnt_temp4[6]; NextCnt4[11:7] = result_cnt_temp4[11:7]; end else if(tmpCnt4[7] == 1'b0) begin NextCnt4[6:0] = result_cnt_temp4[6:0]; NextCnt4[7] = ~result_cnt_temp4[7]; NextCnt4[11:8] = result_cnt_temp4[11:8]; end else if(tmpCnt4[8] == 1'b0) begin NextCnt4[7:0] = result_cnt_temp4[7:0]; NextCnt4[8] = ~result_cnt_temp4[8]; NextCnt4[11:9] = result_cnt_temp4[11:9]; end else if(tmpCnt4[9] == 1'b0) begin NextCnt4[8:0] = result_cnt_temp4[8:0]; NextCnt4[9] = ~result_cnt_temp4[9]; NextCnt4[11:10] = result_cnt_temp4[11:10]; end else if(tmpCnt4[10] == 1'b0) begin NextCnt4[9:0] = result_cnt_temp4[9:0]; NextCnt4[10] = ~result_cnt_temp4[10]; NextCnt4[11] = result_cnt_temp4[11]; end else begin NextCnt4[10:0] = result_cnt_temp4[10:0]; NextCnt4[11] = ~result_cnt_temp4[11]; end end //反向计数第二个gate reg [11:0] NextCnt5; reg [11:0] tmpCnt5; always @(posedge clk_pulse2 or negedge rst_n) begin if(!rst_n)begin result_cnt_temp5 <= 12'b0; result_cnt5<= 12'b0; end else if(gate2) result_cnt_temp5 <= NextCnt5;//到下一个时钟的上升沿 else begin result_cnt5 <= result_cnt_temp5; result_cnt_temp5 <= 12'b0; end end always @( result_cnt_temp5 ) begin tmpCnt5[11] = result_cnt_temp5[11]; for( k=10; k>=0; k=k-1 ) tmpCnt5[k] = result_cnt_temp5[k] ^ tmpCnt5[k+1]; if( tmpCnt5[0]==1'b0 )begin NextCnt5[0] = ~result_cnt_temp5[0]; NextCnt5[11:1] = result_cnt_temp5[11:1]; end else if( tmpCnt2[1]==1'b0 ) begin NextCnt5[0] = result_cnt_temp5[0]; NextCnt5[1] = ~result_cnt_temp5[1]; NextCnt5[11:2] = result_cnt_temp5[11:2]; end else if( tmpCnt5[2]==1'b0 ) begin NextCnt5[1:0] = result_cnt_temp5[1:0]; NextCnt5[2] = ~result_cnt_temp5[2]; NextCnt5[11:3] = result_cnt_temp5[11:3]; end else if( tmpCnt5[3]==1'b0 ) begin NextCnt5[2:0] = result_cnt_temp5[2:0]; NextCnt5[3] = ~result_cnt_temp5[3]; NextCnt5[11:4] = result_cnt_temp5[11:4]; end else if( tmpCnt5[4]==1'b0 ) begin NextCnt5[3:0] = result_cnt_temp5[3:0]; NextCnt5[4] = ~result_cnt_temp5[4]; NextCnt5[11:5] = result_cnt_temp5[11:5]; end else if(tmpCnt5[5]==1'b0 ) begin NextCnt5[4:0] = result_cnt_temp5[4:0]; NextCnt5[5] = ~result_cnt_temp5[5]; NextCnt5[11:6] = result_cnt_temp5[11:6]; end else if(tmpCnt5[6]==1'b0 ) begin NextCnt5[5:0] = result_cnt_temp5[5:0]; NextCnt5[6] = ~result_cnt_temp5[6]; NextCnt5[11:7] = result_cnt_temp5[11:7]; end else if (tmpCnt5[7]==1'b0) begin NextCnt5[6:0] = result_cnt_temp5[6:0]; NextCnt5[7] = ~result_cnt_temp5[7]; NextCnt5[11:8] = result_cnt_temp5[11:8]; end else if (tmpCnt5[8]==1'b0) begin NextCnt5[7:0] = result_cnt_temp5[7:0]; NextCnt5[8] = ~result_cnt_temp5[8]; NextCnt5[11:9] = result_cnt_temp5[11:9]; end else if (tmpCnt5[9]==1'b0) begin NextCnt5[8:0] = result_cnt_temp5[8:0]; NextCnt5[9] = ~result_cnt_temp5[9]; NextCnt5[11:10] = result_cnt_temp5[11:10]; end else begin NextCnt5[10:0] = result_cnt_temp5[10:0]; NextCnt5[11] = ~result_cnt_temp5[11]; end end //反向计数第三个gate reg [11:0] NextCnt6; reg [11:0] tmpCnt6; always @(posedge clk_pulse2 or negedge rst_n) begin if(!rst_n)begin result_cnt_temp6 <= 12'b0; result_cnt6<= 12'b0; end else if(gate3) result_cnt_temp6 <= NextCnt6;//到下一个时钟的上升沿 else begin result_cnt6 <= result_cnt_temp6; result_cnt_temp6 <= 12'b0; end end always @( result_cnt_temp6 ) begin tmpCnt6[11] = result_cnt_temp6[11]; for( k=10; k>=0; k=k-1 ) tmpCnt6[k] = result_cnt_temp6[k] ^ tmpCnt6[k+1]; if( tmpCnt6[0]==1'b0 ) begin NextCnt6[0] = ~result_cnt_temp6[0]; NextCnt6[11:1] = result_cnt_temp6[11:1]; end else if( tmpCnt6[1]==1'b0 ) begin NextCnt6[0] = result_cnt_temp6[0]; NextCnt6[1] = ~result_cnt_temp6[1]; NextCnt6[11:2] = result_cnt_temp6[11:2]; end else if( tmpCnt6[2]==1'b0 ) begin NextCnt6[1:0] = result_cnt_temp6[1:0]; NextCnt6[2] = ~result_cnt_temp6[2]; NextCnt6[11:3] = result_cnt_temp6[11:3]; end else if( tmpCnt6[3]==1'b0 ) begin NextCnt6[2:0] = result_cnt_temp6[2:0]; NextCnt6[3] = ~result_cnt_temp6[3]; NextCnt6[11:4] = result_cnt_temp6[11:4]; end else if( tmpCnt6[4]==1'b0 ) begin NextCnt6[3:0] = result_cnt_temp6[3:0]; NextCnt6[4] = ~result_cnt_temp6[4]; NextCnt6[11:5] = result_cnt_temp6[11:5]; end else if(tmpCnt6[5]==1'b0 ) begin NextCnt6[4:0] = result_cnt_temp6[4:0]; NextCnt6[5] = ~result_cnt_temp6[5]; NextCnt6[11:6] = result_cnt_temp6[11:6]; end else if(tmpCnt6[6]==1'b0 ) begin NextCnt6[5:0] = result_cnt_temp6[5:0]; NextCnt6[6] = ~result_cnt_temp6[6]; NextCnt6[11:7] = result_cnt_temp6[11:7]; end else if (tmpCnt6[7]==1'b0) begin NextCnt6[6:0] = result_cnt_temp6[6:0]; NextCnt6[7] = ~result_cnt_temp6[7]; NextCnt6[11:8] = result_cnt_temp6[11:8]; end else if (tmpCnt6[8]==1'b0) begin NextCnt6[7:0] = result_cnt_temp6[7:0]; NextCnt6[8] = ~result_cnt_temp6[8]; NextCnt6[11:9] = result_cnt_temp6[11:9]; end else if (tmpCnt6[9]==1'b0) begin NextCnt6[8:0] = result_cnt_temp6[8:0]; NextCnt6[9] = ~result_cnt_temp6[9]; NextCnt6[11:10] = result_cnt_temp6[11:10]; end else begin NextCnt6[10:0] = result_cnt_temp6[10:0]; NextCnt6[11] = ~result_cnt_temp6[11]; end end //把格雷码计数结果转为二进制码 reg [11:0] result_cnt4_b; reg [11:0] result_cnt5_b; reg [11:0] result_cnt6_b; always @(result_cnt_temp4) begin result_cnt4_b[11] = result_cnt_temp4[11]; for(k = 10; k >= 0; k = k - 1) result_cnt4_b[k] = result_cnt_temp4[k] ^ result_cnt4_b[k+1]; end always @( result_cnt_temp5 )begin result_cnt5_b[11] = result_cnt_temp5[11]; for( k=10; k>=0; k=k-1 ) result_cnt5_b[k] = result_cnt_temp5[k] ^ result_cnt5_b[k+1]; end always @( result_cnt_temp6 )begin result_cnt6_b[11] = result_cnt_temp6[11]; for( k=10; k>=0; k=k-1 ) result_cnt6_b[k] = result_cnt_temp6[k] ^ result_cnt6_b[k+1]; end //计算start和stop脉冲的间隔时间 always @(posedge clk_pulse2 or negedge rst_n) begin if(!rst_n) result4 <= 12'b0; else if(result_cnt4_b) result4 <= result_cnt1_b ; end always @(posedge clk_pulse2 or negedge rst_n) begin if(!rst_n) result5 <= 12'b0; else if(result_cnt2_b) result5 <= result_cnt5_b ; end always @(posedge clk_pulse2 or negedge rst_n) begin if(!rst_n) result6 <= 12'b0; else if(result_cnt2_b) result6 <= result_cnt6_b ; end endmodule

filetype

(base) hxwang@raspberrypi:~/realsense_build/librealsense-master/build/wrappers/python $ sudo pip install . --break-system-packages Looking in indexes: https://pypi.org/simple, https://www.piwheels.org/simple Processing /home/hxwang/realsense_build/librealsense-master/build/wrappers/python Preparing metadata (setup.py) ... done Building wheels for collected packages: pyrealsense2 Building wheel for pyrealsense2 (setup.py) ... done Created wheel for pyrealsense2: filename=pyrealsense2-2.55.1-py3-none-any.whl size=520734 sha256=539e7b9fff0c8de7d6eb732ae8a7755de26c5f44367fabf225fdaeee429075ef Stored in directory: /tmp/pip-ephem-wheel-cache-90lin6tz/wheels/c2/26/b6/af813fa561492a8526f6a535300afb985818e02a66048d71f2 Successfully built pyrealsense2 Installing collected packages: pyrealsense2 Attempting uninstall: pyrealsense2 Found existing installation: pyrealsense2 2.55.1 Uninstalling pyrealsense2-2.55.1: Successfully uninstalled pyrealsense2-2.55.1 Successfully installed pyrealsense2-2.55.1 WARNING: Running pip as the 'root' user can result in broken permissions and conflicting behaviour with the system package manager. It is recommended to use a virtual environment instead: https://pip.pypa.io/warnings/venv (base) hxwang@raspberrypi:~/realsense_build/librealsense-master/build/wrappers/python $ python -c "import pyrealsense2 as rs; print(dir(rs))" ['BufData', 'D400', 'D500', 'DEPTH', 'L500', 'SR300', 'STAEControl', 'STAFactor', 'STCensusRadius', 'STColorControl', 'STColorCorrection', 'STDepthControlGroup', 'STDepthTableControl', 'STHdad', 'STRauColorThresholdsControl', 'STRauSupportVectorControl', 'STRsm', 'STSloColorThresholdsControl', 'STSloPenaltyControl', 'T200', 'TRACKING', '__doc__', '__file__', '__full_version__', '__loader__', '__name__', '__package__', '__spec__', '__version__', 'align', 'any', 'any_intel', 'auto_calibrated_device', 'calib_target_type', 'calibration_change_device', 'calibration_status', 'calibration_type', 'camera_info', 'color_sensor', 'colorizer', 'composite_frame', 'config', 'context', 'debug_protocol', 'debug_stream_sensor', 'decimation_filter', 'depth_frame', 'depth_sensor', 'depth_stereo_sensor', 'device', 'device_calibration', 'device_list', 'disparity_frame', 'disparity_transform', 'distortion', 'enable_rolling_log_file', 'event_information', 'extension', 'extrinsics', 'filter', 'filter_interface', 'firmware_log_message', 'firmware_log_parsed_message', 'firmware_logger', 'fisheye_sensor', 'format', 'frame', 'frame_metadata_value', 'frame_queue', 'frame_source', 'hdr_merge', 'hole_filling_filter', 'intrinsics', 'l500_visual_preset', 'log', 'log_message', 'log_severity', 'log_to_callback', 'log_to_console', 'log_to_file', 'matchers', 'max_usable_range_sensor', 'motion_device_intrinsic', 'motion_frame', 'motion_sensor', 'motion_stream', 'motion_stream_profile', 'non_intel', 'notification', 'notification_category', 'option', 'option_from_string', 'option_range', 'option_type', 'option_value', 'options', 'options_list', 'pipeline', 'pipeline_profile', 'pipeline_wrapper', 'playback', 'playback_status', 'pointcloud', 'points', 'pose', 'pose_frame', 'pose_sensor', 'pose_stream', 'pose_stream_profile', 'processing_block', 'product_line', 'quaternion', 'recorder', 'region_of_interest', 'reset_logger', 'roi_sensor', 'rs2_deproject_pixel_to_point', 'rs2_fov', 'rs2_project_color_pixel_to_depth_pixel', 'rs2_project_point_to_pixel', 'rs2_transform_point_to_point', 'rs400_advanced_mode', 'rs400_visual_preset', 'save_single_frameset', 'save_to_ply', 'sensor', 'sequence_id_filter', 'serializable_device', 'software_device', 'software_motion_frame', 'software_notification', 'software_pose_frame', 'software_sensor', 'software_video_frame', 'spatial_filter', 'stream', 'stream_profile', 'sw_only', 'syncer', 'temporal_filter', 'terminal_parser', 'texture_coordinate', 'threshold_filter', 'timestamp_domain', 'units_transform', 'updatable', 'update_device', 'vector', 'vertex', 'video_frame', 'video_stream', 'video_stream_profile', 'wheel_odometer', 'yuy_decoder'] (base) hxwang@raspberrypi:~/realsense_build/librealsense-master/build/wrappers/python $ cd (base) hxwang@raspberrypi:~ $ cd yolov5 (base) hxwang@raspberrypi:~/yolov5 $ python 3D_rappy.py Traceback (most recent call last): File "/home/hxwang/yolov5/3D_rappy.py", line 16, in <module> pipeline = rs.pipeline() ^^^^^^^^^^^ AttributeError: module 'pyrealsense2' has no attribute 'pipeline' (base) hxwang@raspberrypi:~/yolov5 $

filetype

~/power/bin # gdb netmgr GNU gdb (GDB) 8.1 Copyright (C) 2018 Free Software Foundation, Inc. License GPLv3+: GNU GPL version 3 or later <http://gnu.org/licenses/gpl.html> This is free software: you are free to change and redistribute it. There is NO WARRANTY, to the extent permitted by law. Type "show copying" and "show warranty" for details. This GDB was configured as "arm-unknown-linux-gnueabihf". Type "show configuration" for configuration details. For bug reporting instructions, please see: <http://www.gnu.org/software/gdb/bugs/>. Find the GDB manual and other documentation resources online at: <http://www.gnu.org/software/gdb/documentation/>. For help, type "help". Type "apropos word" to search for commands related to "word"... Reading symbols from netmgr...done. (gdb) r Starting program: /root/power/bin/netmgr [Thread debugging using libthread_db enabled] Using host libthread_db library "/lib/libthread_db.so.1". Signal is about to be caught. Preparing to handle it... route: SIOCDELRT: No such process route: SIOCDELRT: No such process killall: udhcpc: no process killed killall: udhcpc: no process killed [New Thread 0xb583d400 (LWP 424)] [New Thread 0xb4eff400 (LWP 430)] [New Thread 0xb46fe400 (LWP 431)] Thread 1 "netmgr" received signal SIGSEGV, Segmentation fault. 0xb6a97d24 in memcpy () from /lib/libc.so.6 (gdb) bt #0 0xb6a97d24 in memcpy () from /lib/libc.so.6 #1 0xb6b82028 in zte_memcpy_s () from /root/power/lib/libzte_slibc.so #2 0x0002ce9c in __frame_dummy_init_array_entry () Backtrace stopped: previous frame identical to this frame (corrupt stack?) 如何定位到段错误的代码行数

awan47178
  • 粉丝: 0
上传资源 快速赚钱