Unifying Low Power Design with UPF
The Power of One
UPF A Cooperative Effort Under Accellera
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Agenda
Introduction (00:15)
Kevin Kranen, Synopsys
UPF Low Power Design Basics (00:30)
Stephen Bailey, Mentor Graphics
Low Power Design Implementation (00:30)
Arvind Narayanan, Magma Design
Comprehensive MV Verification (00:15)
Anand Iyer, ArchPro
Q&A
3
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Agenda
Introduction (00:15)
Kevin Kranen, Synopsys
UPF Low Power Design Basics (00:30)
Stephen Bailey, Mentor Graphics
Low Power Design Implementation (00:30)
Arvind Narayanan, Magma Design
Comprehensive MV Verification (00:15)
Anand Iyer, ArchPro
Q&A
4
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
UPF is the New Industry Standard
Built from Silicon-proven Technologies
Technology donations to UPF TSC
Mentor
UPF Participating Companies
AMD ArchPro ARM Atrenta Azuro Cadence ChipVision FreeScale IBM Infineon Intel LCDM Eng LSI Logic Magma Mentor Nokia Nordic Semi Novas NXP Qualcomm Si2 STARC STM Synchronous DA Synopsys TI Toshiba VaST Virage Logic Xilinx
External power configuration file for verification Magma Power Management commands Vast System level modeling methodology and format Synopsys RTL constructs (Verilog and VHDL) Power Management commands Switching activity format SAIF TI Retention cell semantics Atrenta, Synchronous DA
Feb 07 - UPF 1.0 standard approved by Accellera ! March 07 IEEE P1801 PAR / Study Group underway
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
UPF 1.0 Industry Endorsement & Support
Infineon - The quick development and release of the UPF 1.0 standard is based on our close partnership relations with EDA suppliers who share the same vision and attitude in making things happen. We are convinced that UPF will support us in achieving zero-defect quality and our productivity objectives, which both are key for Infineon's World class Automotive Product Portfolio. Hartmut Hiller, Senior Director Design Methodology Automotive, Industrial & Multimarket Synopsys - Applauds Accellera for approving the UPF standard for low power design and verification. We plan to deliver our UPF 1.0-based implementation and verification solution during 2007. In response to customer demand for a standard that enables consistent and interoperable end-user low power flows and methodologies, Synopsys together with Magma Design Automation, Mentor Graphics, leading endcustomers and IP companies - has made strong contributions to UPF 1.0 based on our proven technologies. UPF 1.0 is ready for industry use. Rich Goldman, Vice President, Synopsys, Strategic Market Development
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
UPF 1.0 Industry Endorsement & Support
Magma - The speed at which the UPF standard has been developed and approved demonstrates the power of one open, inclusive and cooperative industry-wide effort. Users will realize significant improvements in productivity and quality of results by having a single, portable file and format with which they can specify, modify and maintain design data. Accellera, Magma, Mentor, Synopsys and all the companies that donated technology and expertise should be commended. Kam Kittrell, General Manager, Design Implementation Business Unit, Magma Design Automation Mentor - Designers want a single format that is simple to use, extensible, and capable of describing complex power behavior. The Unified Power Format (UPF) 1.0 standard achieves this by being open and comprehensive enabling support from leading EDA vendors and customers for industry-wide adoption. Mentor is committed to Accellera's UPF 1.0 standard as we are a leading contributor of our proven technology to this open standard for low power design and verification Robert Hum, Vice President & General Manager, Mentor Graphics Design Verification & Test Division
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Digital Design
COT/ASIC/FPGA Synthesis + Physical Implementation + DFT + Signoff
(TTM Revenue: $1,042.0M)
CPF 31%
Other 2%
UPF 67%
Based on Q4 05 through Q3 06 EDAC MSS data plus other publicly available market data
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Digital Verification
RTL Verification + Formal Verification (TTM Revenue: $579.8M)
Other 6% UPF 49% CPF 45%
Based on Q4 05 through Q3 06 EDAC MSS data plus other publicly available market data
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Digital Verification
Other 1% CPF 33%
UPF 66%
Based on 2007 John Cooley DeepChip Verification Survey Mindshare 818 Respondents
10
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
What is UPF?
Unified Power Format UPF provides the ability for electronic systems to be designed with power as a key consideration early in the process. Why UPF?
No existing HDL adequately supports the specification of power distribution and management Vendor-specific formats are non-portable and create opportunities for bugs via inconsistent specifications
11
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Consistent Commands to:
Define power distribution architecture
Power domains Supply rails Switches
RTL Verif Unified Power Format Synthesis Pre-Verif Layout Post-Verif Signoff Finished GDSII
Create power strategy
Power state tables
Set up and map
Retention Isolation Level shifters Switches
12
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Why has Power Become THE Dominant Constraint?
Low power is key for many (most) applications
Mobile, processing, communications, consumer
Driver: Process technology
>100nm:
Switching dominates power consumption
<100nm
Static leakage consumes >50% of power!
Intel 45nm Test Chip Intel 45nm Memory Cell
13
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Fast Response to Industry Need
Date 11 Sep 06 18 Sep 06 5 Oct 06 30 Oct 06 30 Nov 06 23 Jan 07 22 Feb 07 23 Feb 07 7 May 07 Milestone Accellera TSC formation Design Objectives Document; Weekly meetings start Si2 / Accellera Workshop on Low Power First drafts available for review Submission to Accellera Board for Approval Accellera Technical committee approves standard Accellera Board approves UPF V1.0 released IEEE study group formed (expected) Establish IEEE P1801 Working Group to develop a proposed standard for Low Power
Public Download http://www.accellera.org
14
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Synopsys UPF Support
Based on existing, proven capabilities
2 years prior experience Over 20 Multi-voltage tapeouts Numerous power-gating tapeouts
UPF UPF RTL RTL
Broad Product Support in 2007
Verification Synthesis Physical Implementation Checking Signoff Low Power IP
UPF UPF Netlist Netlist
VCS, Formality, Leda
Design Compiler
IC Compiler
UPF UPF GDSII GDSII
15
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
PrimeTime (SI, PX) PrimeRail
UPF in a Nutshell
What is UPF?
Abstract supply distribution and control network specification Power-aware design intent Used throughout design flow
UPF UPF HDL HDL (RTL) (RTL) Simulation, Logical Equivalence Checking,
Synthesis
Key Concept: UPF extends without changing the logic design specification
Golden source is not touched No re-verification of logic-only UPF augments the HDL specification
UPF UPF Verilog Verilog (Netlist) (Netlist)
P&R
Key Concept: Matching simulation & implementation semantics
16
Accelleras UPF: The Power of One
UPF UPF Verilog Verilog (Netlist) (Netlist)
Interoperability Forum 26 Apr 07
Agenda
Introduction (00:15)
Kevin Kranen, Synopsys
UPF Low Power Design Basics (00:30)
Stephen Bailey, Mentor Graphics
Low Power Design Implementation (00:30)
Arvind Narayanan, Magma Design
Comprehensive MV Verification (00:15)
Anand Iyer, ArchPro
Q&A
17
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
UPF Value Points
TCL
Exploit all TCL scripting capabilities
IP Accommodating
Specify how separate from what
Which registers require retention Specifics of retention (supplies, control signals)
Default, general application
Retention and isolation strategies Recursive inclusion, etc With well-defined precedence semantics
More specific has higher precedence
Legacy methodology friendly
Set_power_switch User-defined supply net state conversions
18
Semantic integration with logic design
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
UPF / Logic Design Relationship
Key Concept: Everything defined in UPF exists in the Logic Hierarchy
Lp1 Lp2
Ln1 Ln2
Ln3
Lp3
Module A Logic Design
19
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
UPF / Logic Design Relationship
Key Concept: Everything defined in UPF exists in the Logic Hierarchy create_power_domain pdA
-include_scope A
Lp1 Lp2 Ln1 Ln2 Ln3
Lp3
Module A Logic Design
20
Accelleras UPF: The Power of One
pdA
Interoperability Forum 26 Apr 07
create_power_domain
create_power_domain domain_name [-elements list] [-include_scope] [-scope instance_name]
21
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
UPF / Logic Design Relationship
Key Concept: Everything defined in UPF exists in the Logic Hierarchy create_supply_port spAOn
-domain pdA
Lp1 Lp2 Ln1 Ln2
Ln3
Lp3
spAOn
Module A Logic Design
22
Accelleras UPF: The Power of One
pdA
Interoperability Forum 26 Apr 07
create_supply_port
create_supply_port port_name -domain domain_name [-direction <in | out>]
23
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
UPF / Logic Design Relationship
Key Concept: Everything defined in UPF exists in the Logic Hierarchy create_supply_net RET
-domain pdA
Lp1 Lp2 spAOn Ln1 Ln2
Ln3
Lp3 RET
Module A Logic Design
24
Accelleras UPF: The Power of One
pdA
Interoperability Forum 26 Apr 07
UPF / Logic Design Relationship
Key Concept: Everything defined in UPF exists in the Logic Hierarchy create_supply_net PR
-domain pdA
Lp1 Lp2 spAOn Ln1 Ln2 RET
Ln3
Lp3 PR
Module A Logic Design
25
Accelleras UPF: The Power of One
pdA
Interoperability Forum 26 Apr 07
create_supply_net
create_supply_net net_name -domain domain_name [-reuse] [-resolve < unresolved | one_hot | parallel >]
26
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
UPF / Logic Design Relationship
Key Concept: Everything defined in UPF exists in the Logic Hierarchy create_power_switch SW1 -domain pdA
-input_supply_port {inp RET} -output_supply_port {outp PR}
Lp1 Lp2 spAOn Ln1 Ln2 RET Ln3 PR SW1 Lp3
Module A Logic Design
27
Accelleras UPF: The Power of One
pdA
Interoperability Forum 26 Apr 07
create_power_switch
create_power_switch switch_name -domain domain_name -output_supply_port { port_name supply_net_name } {-input_supply_port { port_name supply_net_name }}* {-control_port { port_name net_name }}* {-on_state {state_name input_supply_port {boolean_function}}}* [-on_partial_state { state_name input_supply_port { boolean_function }}]* [-ack_port { port_name net_name [{boolean_function}] }]* [-ack_delay { port_name delay}]* [-off_state { state_name {boolean_function} }]* [-error_state { state_name {boolean_function} }]*
28
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
The UPF / Logic Design Relationship
Key Concept: Everything defined in UPF exists in the Logic Hierarchy connect_supply_net RET
-ports {spAOn}
Lp1 Lp2 spAOn Ln1 Ln2 RET SW1
Ln3 PR
Lp3
Module A Logic Design
29
Accelleras UPF: The Power of One
pdA
Interoperability Forum 26 Apr 07
connect_supply_net
connect_supply_net net_name [-ports list] [-pins list] [< -cells list | -domain domain_name >] [< -rail_connection rail_type | -pg_type pg_type >]* [-vct vct_name]
30
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
The UPF / Logic Design Relationship
Key Concept: Everything defined in UPF exists in the Logic Hierarchy set_domain_supply_net pdA
-primary_power_net PR -primary_ground_net VSS
Lp1 Lp2 spAOn Ln1 Ln2 RET SW1 Ln3 PR Lp3
Module A Logic Design
31
Accelleras UPF: The Power of One
pdA
Interoperability Forum 26 Apr 07
Automation
Supply_port Supply_net Supply_net
Flexibility
Supply_port Supply_net Supply_net
U1
U1
Supply_net
Supply_net
U2
U17
U2
Single create_supply_port command Single create_supply_net command Supply is routed to all design elements in PD
PD can consist of noncontiguous design elements PD always has scope
Ports, nets, etc created in that scope
Supply is routed to all design elements Auto re-naming avoids conflicts
Interoperability Forum 26 Apr 07
32
Accelleras UPF: The Power of One
Simulation Semantics Overview
Key Concept: All design elements in a power domain share the same primary power and ground supplies ON:
Both primary power and ground are ON Supply port drives a voltage value
OFF:
Primary power and/or ground are OFF Voltage value is irrelevant
PARTIAL_ON
For power-aware models may more accurately reflect switching capacitive transition
33
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
OFF Means
All registers are corrupted
Retention (shadow) registers have separate supply(ies) Logic types = X Other types = default initial value
Any signal/net driven by logic that is OFF is corrupted
Isolation cells have separate supply(ies)
No evaluation of logic occurs while it is OFF
34
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
ON Means
When logic is powered on (event)
Combinatorial processes are evaluated Including continuous assignments Edge triggered processes are not evaluated until the next active edge Logic (processes) are re-enabled for evaluation
35
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
UPF / HDL Interoperability
Accellera 1.0 UPF Standard
Packages
36
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Supply Net Resolution
Distributed Switch
Pwall Pbatt
VDDchip
one_hot resolution: Predefined Specified in create_supply_net command At most one supply (PARTIAL_)ON at any time Voltage value is the value of the ON port
37
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Supply Net Resolution
Parallel Switch
cVDD
reconVDD
parallel resolution: Predefined Specified in create_supply_net command All must be OFF Or all must be ON and at same voltage If any PARTIAL_ON, then PARTIAL_ON
38
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Mentors UPF Low Power Design Verification Solutions
UPF UPF HDL HDL (RTL) (RTL) Simulation, Logical Equivalence Checking,
Synthesis
UPF UPF Verilog Verilog (Netlist) (Netlist)
P&R
UPF UPF Verilog Verilog (Netlist) (Netlist)
39
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Mentors UPF Low Power Design Verification Solutions
UPF UPF HDL HDL (RTL) (RTL)
Questa Simulation
Synthesis
Watch this Space
Simulation, Logical Equivalence Checking,
RTL & gate verification of low power design intent
Power gating Retention Isolation
UPF UPF Verilog Verilog (Netlist) (Netlist)
FormalPro
Is the implementation equivalent to what was verified?
P&R
UPF UPF Verilog Verilog (Netlist) (Netlist)
40
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Questa Power Aware Simulation Flow
HDL Logic Design UPF Low Power Intent
Vlog / VHDL Compile
Library
Vopt Elab & Optimizer
Questa Coverage Report
Vsim Simulation
41
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Low Power Equivalency Checks
Power Management Status in the main transcript Power Management Details Report
Failed due to wrong register type
42
FormalPro Accelleras UPF: The Power of One- Product Update 2006 Interoperability Forum 26 Apr 07
Unifying Low Power Design with UPF
The Power of One
Arvind Narayanan
Agenda
Introduction (00:15)
Kevin Kranen, Synopsys
UPF Low Power Design Basics (00:30)
Stephen Bailey, Mentor Graphics
Low Power Design Implementation (00:30)
Arvind Narayanan, Magma Design
Comprehensive MV Verification (00:15)
Anand Iyer, ArchPro
Q&A
44
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Talus TM Platform
Rapid concurrent closure of timing, power and yield
25% less power Power signoff
Low Power & Power Synthesis
10% Margin Reduction DFM signoff
10% better QoR 5X faster TAT
RTL to GDSII
Automation
DFM & Variability
Extraction, Timing/SI Closure
Signoff In the Loop
45
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Total Power Optimization RTL to GDSII
Integration, Innovation, Automation!
RTL
Sign-off power and IR drop
Static and Transient Intelligent de-cap methodology Built-in spice engine
Leakage Power
Automated MTCMOS/VTCMOS Concurrent Multi-vt flow
Talus Design
Talus Power Quartz Rail
Optimization
Dynamic Power Reduction
Virtually flat MVDD flow Unique Gas station methodology
MTCMOS
Power On/Off behavior Rush current analysis
Analysis
Thermal
Impact on delay/leakage
Talus Vortex
GDSII
Up to 25% reduction in CTS
Advanced cloning and sink clustering
Automatic Power Grid Synthesis
Incremental power grid design
46
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
UPF Support in Magma
Ability to allow the specification of implementation-relevant power information early in the design process UPF provides a consistent format to specify power-aware design information
Talus Vortex Talus Design
Talus Power
create_power_domain create_supply_port create_supply_net
Sample UPF Commands for MVDD flow
Talus Vortex Analysis Quartz Rail
47
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Questa Formal Pro
UPF also defines consistent semantics across verification and implementation
Talus Power
Complete Low Power Design Specification = HDL + UPF
Power Domains Power Distribution Network
Switches and Supply Nets
48
Power State Table Level Shifting Isolation Retention Switching Activity
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Automated Voltage Island Methodology
Maintains virtual hierarchy in the flow Handles level shifters and isolation cell insertion CTS honors domain boundaries Routing and cells contained within domains Concurrent analysis and optimization Types of MVDD Designs
1.4v 200Mhz Constant VDD
1.4v 200Mhz Constant VDD
1.4v 200Mhz Constant VDD
49
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
1.6v 200Mhz VDD - Switched Constant
MTCMOS
1.2v 200Mhz Switched VDD
1.2 to 1.6v 100to 200Mhz VDD - Switched Variable
Switched
1.2v 200Mhz Constant VDD
DVFS
Complete automated flow for defining and connecting domains
1.2v 200Mhz Constant VDD
1.2 to 1.6v 100to 200Mhz Variable VDD ON
UPF / Logic Design Relationship
Key Concept: Everything defined in UPF exists in the Logic Hierarchy
create_power_domain pdA -include_scope A
Lp1 Lp2 Ln1 Ln2 Ln3
Lp3
Module A Logic Design
pdA
50
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
UPF / Logic Design Relationship
Key Concept: Everything defined in UPF exists in the Logic Hierarchy
set_domain_supply_net pdA -primary_power_net PR -primary_ground_net VSS
Lp1 Lp2 spAOn Ln1 Ln2 RET SW1 Ln3 PR Lp3
Module A Logic Design
pdA
51
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Domain Creation and Mapping
top ($m) sub1 ($m2)
Domain Creation Attaching cells
Logical Mapping
sub2 ($m3)
Domain Parameters Libraries for domain
Electrical Mapping
top sub1 sub2
Floorplans for domains Physical
Mapping
52
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Level Shifters in MVDD flows
Level shifters translate from one voltage swing to another Level shifter considertaions:
Pick a power domain or a set of elements Select input ports, output ports, or both Tolerate a voltage difference threshold UPshift or downSHIFT rule Location (self, parent, sibling, fanout, auto) Do or dont do it
53
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Isolation Cells in MVDD flows
R1
B2
clk
B3
B4
R5
G6
P7
B7
B8
Floating outputs of power-gated circuits Isolation control signals force known value Isolation and level shifting can be merged
54
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
set_level_shifter Command Example
set_level_shifter my_ls domain PDgreen rule low_to_high location self applies_to outputs map_level_shifter_cell ls_L2H domain PDgreen lib_cells { /lib/ls_123 }
P5 P6
P7
Vlo P5 P6
Vhi P7
module G6 logic design
module G6 in domain PDgreen
55
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
set_isolation Command Example
set_isolation iso3 domain PDgreen isolation_power_net Vbu clamp_value 0 applies_to outputs set_isolation_control iso3 domain PDgreen isolation_signal CPU_iso location self
P5 P6
P7
Vbu P5 P6 CPU_iso P7_iso
module G6 logic design
module G6 in domain PDgreen
56
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Level Shifter/Isolation Cell Insertion Magma
Uses supply type definition for LS/ISO insertion Length and IR drop based insertion
run gate levelshifter UPF run gate isolation UPF Supply Types
Constant- -voltage voltagesupply supplyis isconstant constant Constant overtime time over Variable- -voltage voltagesupply supplyvaries variesover over Variable time time Switchedconstant constant- -constant constantvoltage voltage Switched supplythat thatcan canbe beswitched switchedoff off supply Switchedvariable variable- -variable variablevoltage voltage Switched supplythat thatcan canbe beswitched switchedoff off supply
1.2v Constant
Typical flow Two level shifters
1.08v Constant
0.9v Switched
1.2v Constant
Length based One level shifter
1.08v Constant
Isolation cell insertion
0.9v Switched
57
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Gas Station Methodology for MVDD Flow
Mini islands to help buffering long top level nets without level shifters Helps handle doughnut shaped domains with congestions Buffering on long nets through switched domains can be gracefully handled Automatic power tapping from the top level supply Optimal number of repeaters and supply taps inserted
Accelleras UPF: The Power of One High Congestion
1.08v Constant 1.2v switched
1.08v Constant
1.2v switched
Gas Station 1.08v
Interoperability Forum 26 Apr 07
58
MTCMOS Switches
Coarse Grain Distributed Switches
Switches placed in rows to control groups of logic
Standard cell specific Switches
A domain replaced with cells that have header and/or footer switches Easier to implement at the cost of area
MVDD based MTCMOS Methodology
Fine Grain Distributed Switches
Cones of logic replaced with cells with header/footer switches
1.4v 200Mhz Constant VDD
Global Header/Footer Switches
MTCMOS switches at the periphery of the domains
59
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
1.6v 200Mhz Switched VDD
MTCMOS
1.2v 200Mhz Switched VDD
UPF / Logic Design Relationship
Key Concept: Everything defined in UPF exists in the Logic Hierarchy
create_power_switch SW1 -domain pdA -input_supply_port {inp RET} -output_supply_port {outp PR}
Lp1 Lp2 spAOn Ln1 Ln2 RET Ln3 PR SW1 Lp3
Module A Logic Design
pdA
60
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
set_retention Command Example
set_retention ret3 domain PDgreen retention_power_net Vbu elements { u37 } set_retention_control ret3 domain PDgreen save_signal s restore_signal r
Vbu
D Q u37
D Q u37
module G6 logic design
module G6 in domain PDgreen
61
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Coarse Grain Insertion Methodology
Number of switches inserted is based on:
Explicit Switches inserted on every grid point
Minimum # of switches per row user defined
MTCMOS Domain
1.08v Constant
Flow
Power Total power being consumed by a block
Evenly distributed over the placeable area
Voltage Drop Rail analysis used to determine the location of the current sinks
Voltage aware incremental switch insertion/removal run gate switch UPF
Accelleras UPF: The Power of One
IR Drop based Incremental removal/insertion
62
Interoperability Forum 26 Apr 07
Quartz Rail - Power Integrity Sign-Off
Quartz Time/RC
Standalone Power sign-off accuracy with early predictability Concurrently addresses power, voltage drop, electromigration, Thermal and Timing issues Analyze impact of temperature on leakage and performance On-the-fly characterization for accurate dynamic IR drop analysis Leakage optimization through intelligent de-cap insertion MTCMOS power-on and rush current analysis
Talus Design Talus Vortex
Quartz SSTA
Talus Power
Quartz Rail
Talus DFM
Spice Engine
Rail EM
Talus ACC
Power IR Drop
Quartz Rail
Thermal IR Drop Delay
63
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
UPF Roadmap
UPF version 1.0 released Feb 22nd 2007 Magma support for UPF
UPF Implementation support May 07 Talus Power for Implementation Quartz Rail for Analysis
64
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Comprehensive Power Management Solution
Advanced power management techniques from RTL-to-GDSII Unique architecture - Single executable, Unique data model Embedded analysis - enables concurrent power, timing, area tradeoffs Reference Methodology provides well-defined design guidelines
65
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Continuous Innovation
Outstanding Partnerships
Unified Data Model & Technology Leadership
Agenda
Introduction (00:15)
Kevin Kranen, Synopsys
UPF Low Power Design Basics (00:30)
Stephen Bailey, Mentor Graphics
Low Power Design Implementation (00:30)
Arvind Narayanan, Magma Design
Comprehensive MV Verification (00:15)
Anand Iyer, ArchPro
Q&A
66
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
UPF Workshop
Anand Iyer Senior Director of Marketing ArchPro Design Automation
67
Accelleras UPF: The Power of One
CONFIDENTIAL - ArchPro Design Automation Inc (c) 2007
Interoperability Forum 26 Apr 07
67
Do Designers Need UPF?
Manage complexity
# of islands in a single chip is growing Adhoc methods/scripts are useless after 3 islands Formal definition of power intent is a must
Verification Effort Test Effort Schedule Risk Design Effort
Consistent flow across the design stages
Support for UPF is available across the flow Descriptive and prescriptive
# of islands
UPF provides a structured design flow
68
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
68
ArchPro Support of UPF
Comprehensive MV verification based on UPF Read-only support of UPF by DAC 07 (June 2007) Read/write support Q4 07
69
Accelleras UPF: The Power of One
UPF UPF HDL HDL (RTL) (RTL)
Synthesis
UPF UPF Verilog Verilog (Netlist) (Netlist)
P&R
UPF UPF GDSII GDSII
MVSIM, MVRC, MVSYN
Interoperability Forum 26 Apr 07
69
ArchPro : Proven solution at 65nm
Full Verification before Silicon! First Pass Silicon Success
Explore and Tradeoff Aggressive Architectures
Architecture design
Architecture Verification (incl. Coverage, assertions)
Seamless connection to Synthesis Mainstream design flows
MVSIM MVRC
Early S/W validation on RTL Months gained for TTM
System & Software validation
Implementation
Test Floorplanning Place, Opt & CTS Route MVSYN
Speedy Silicon Debug
Silicon Debug
Accelerating Multi-Voltage Low Power Designs
70
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
70
ArchPro Solutions with UPF Support
Functional Simulation OK
MVSIM
Multi-voltage co-simulator works with ModelSim and VCS
INPUTS /a /b /iso OUTPUTS /add_out /AND_out
2f 01 1
0a 0 1
30 30 0.0
00
0b
0b
MVRC
Vectorless verification of multi-voltage conditions Power sequence prediction
VOLTAGE ISLANDS /Vadd 1.2 /Vfooter 1.2 /VAND 1.2 0
1.2 200
100
MVSIM identifies the error
INPUTS /a /b /iso OUTPUTS /add_out /AND_out
2f 01 1 0a 0 1
MVSYN
Scriptless insertion of protection devices into RTL
71
Accelleras UPF: The Power of One
30 Z 30 X
00
0b
0b
VOLTAGE ISLANDS /Vadd 1.2 /Vfooter 1.2 /VAND 1.2 0
0.0 100
1.2 200
71
Interoperability Forum 26 Apr 07
How Does ArchPros UPF -based Flow Help You?
Manage verification complexity
Complete coverage of the states and transitions User generated assertions cover all legal conditions
Verification Complexity
Incl. all power modes & transitions
Incl. all power modes
Functional
Implementation is guaranteed to be clean
Directives for implementation tools Sign-off checking for any errors Help in silicon de-bug
BOM Cost
Battery Life Power # of islands
Interoperability Forum 26 Apr 07
72
Compare multiple power architectures for feasibility
72
Accelleras UPF: The Power of One
Whats Next
The Panel Get involved with UPF for your customers sake
Download current standard at accellera.org Join the IEEE P1801 study/working group UPF Workshop at DAC
73
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
THANK YOU
74
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Atrenta Support of Unified Power Format (UPF)
Piyush Sancheti
The Need for a Power Standard
Power-aware design requires specification of power intent No existing standard for power intent specification
Power intent described in Atrenta SGDC format for SpyGlass Other EDA tools have proprietary formats Customers have internal formats
Power standard is important
Consistent power intent throughout the design flow Interoperability between EDA tools Ease of adoption and consistent results from power-aware design tools
Atrenta power solution
76
Voltage and power domain verification Power domain sequencing verification Domain-aware power estimation Power reduction and planning
Interoperability Forum 26 Apr 07
Accelleras UPF: The Power of One
Atrenta Support for UPF
Atrenta is an active participant in UPF
Strongly support efforts for unification of power formats and IEEE standardization Donated SpyGlass SGDC format to Accellera in 2006 Participate in technical sub group (TSG) & IEEE p1801 working group Dave Allen (Power Architect) represents Atrenta in UPF
Atrenta plans to support UPF in SpyGlass Power by July 2007 Atrenta will work closely with customers for UPF support in SpyGlass Power
Provide a transition path from/to SpyGlass SGDC format to/from UPF Ensure UPF support in SpyGlass is adequate and robust for use in design projects Work with UPF members to resolve any tool interoperability issues
77
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
UPF Support in SpyGlass Power
Talus Design Power reduction, estimation & verification Questa Formal Pro
Talus Power
Talus Vortex
Talus Power
Talus Vortex Analysis Quartz Rail
78
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
SpyGlass Power
SpyGlass Power Requirements from UPF
SpyGlass Power
Power Reduction and Planning
Intelligent power reduction and domain planning at RTL
UPF
Library data Supplies Scope Domains signals
Power Estimation
Timing-aware power estimation at RTL, gates, layout
Power Domain Sequencing
Formally prove power up/down sequencing
Power & Voltage Domain Verification
Verify and fix level shifter, isolation logic, SRPG, MTCMOS RTL, gates, layout
79
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
UPF examined
UPF contains all the major categories required by Atrenta SpyGlass Atrenta is planning a power format translator for our customers
CPF
Library
define_always_on_cell define_isolation_cell always_on_cell always_on_pin set_pin_related_supply set_power_switch define_level_shifter_cell aonbuffer define_open_source_input_pin apcell define_power_clamp_cell define_power_switch_cell define_state_retention_cell identify_power_logic inisocell isocell pgcell pgpins_naming powerswitch retencell
Atrenta UPF
CPF
create_power_switch_rule update_power_switch_rule
Atrenta
UPF
create_power_switch map_power_switch
Design - powerswitches Design - always on
identify_always_on_driver aonbufferedsignals current_design load_upf set_design_top set_scope add_pst_state create_pst
Design - scoping
end_design set_design set_instance
Atrenta
Design - modes
create_mode_transition create_supply_net create_power_mode update_power_mode
Design - supplies
create_bias_net create_power_nets create_ground_nets supply
Multimode analysis
create_analysis_view voltagedomain pinvoltage add_domain_elements create_power_domain merge_power_domains set_domain_supply_net create_nominal_condition create_operating_corner set_switching_activity update_nominal_condition
Design - domains
create_power_domain update_power_domain
CPF
bind_checker create_hdl2upf_vct create_upf2hdl_vct
UPF
Simulation semantics
Design - levelshifters
create_level_shifter_rule update_level_shifter_rules create_state_retention_rule update_state_retention_rules levelshifter map_level_shifter_cell set_level_shifter
Design - retention cells
map_retention_cell set_retention set_retention_control ignorepdcrossing map_isolation_cell set_isolation set_isolation_control
Miscellaneous
create_global_connection define_library_set set_array_naming_style set_cpf_version set_hierarchy_separator set_power_target set_power_unit set_register_naming_style set_time_unit add_port_state connect_supply_net create_supply_port get_supply_net name_format save_upf upf_version
Design - isolation logic
create_isolation_rule update_isolation_rules
80
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
UPF Support in SpyGlass Power
SpyGlass SpyGlass Desktop Desktop
Translated Translated SGDC SGDC
UPF UPF
Translationcommand commandline line Translation
81
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Agenda
Introduction (00:15)
Kevin Kranen, Synopsys
UPF Low Power Design Basics (00:35)
Stephen Bailey, Mentor Graphics
Low Power Design Implementation (00:35)
Arvind Narayanan, Magma Design
Power Intent Checking (00:15)
Piyush Sancheti, Atrenta
Q&A
82
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07
Interleaver UPF Demo
Logic Hierarchy View
Interleaver Tester (TB) Interleaver1 (PD_main)
Floorplan View
PD_main PD0 in2wire pkt_ counter VDD
in2wire PD0
pkt_ counter
out2wire PD1
fifo ram_ block
PD1 fifo out2wire ram_ block
83
Accelleras UPF: The Power of One
Interoperability Forum 26 Apr 07