0% found this document useful (0 votes)
124 views35 pages

Verilog Posted

24123

Uploaded by

Andre Arson
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
124 views35 pages

Verilog Posted

24123

Uploaded by

Andre Arson
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 35

Digital systems

• Digital systems are highly complex


These notes are copyrighted and are strictly for 2017-2018
courses at San Diego State University (SDSU). • At their most detailed level, they may consist of millions of logic gates or billions
of transistors
No part of this publication may be reproduced, distributed,
or transmitted in any form or by any means. • From a more abstract viewpoint, these elements may be grouped into a number
of functional components, such as arithmetic logic units, memories, and control
units
• Translating block diagrams into circuit schematics is time-consuming and prone to
error
• Hardware description languages (HDLs) have evolved to aid in the design of
systems with this large number of elements and wide range of abstractions
• Separating behavior from implementation: A HDL allows design and debugging at
a higher level of abstraction without detailed descriptions (compared to gates or
transistors layouts) before conversion to the gate and transistor level
– For example, a 32-bit multiplier schematic is a complicated structure
02 – Verilog-I – The designer must choose what type of multiplier architecture to use. In contrast, the
multiplier can be specified with one line of HDL code

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 2

Hardware description languages VHDL and Verilog


• Describes the exact behavior of all the components and their interfaces • HDL is used extensively by industry and academia for modeling, implementation
• Some other reasons for using HDLs instead of schematic capture and verification of digital circuits
– Greatly improves designer productivity • The two most popular HDLs are Verilog and VHDL
– Improves quality: more time can be spent on logic verification and optimization rather – They were originally intended for documentation and simulation, but are now used to
than on the detailed gate-level design synthesize gates directly from the HDL
– Design reuse: A more concise and readable design makes it easier for the design to be • VHDL, which stands for VHSIC Hardware Description Language, where VHSIC in
reused by others turn was a Department of Defense project on Very High Speed Integrated Circuits,
– Earlier design decisions: Allows making decisions about cost, performance, power, and was developed by committee under government sponsorship
area earlier in the design process • Verilog is less verbose and closer in syntax to C, while VHDL supports some
• A HDL is not a software programming language abstractions useful for large team projects
– Software programming language can be translated into machine instructions and then – May be a disadvantage as the syntax can cause beginners to assume C semantics
executed on a computer • Many Silicon Valley companies use Verilog while defense and telecommunications
– When coding in an HDL, it is important to remember that you are specifying hardware companies often use VHDL
that operates in parallel rather than software that executes in sequence

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 3 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 4
Verilog Verilog module
• Verilog was developed by Advanced Integrated Design Systems (later renamed • The Verilog language describes a digital system as a set of modules
Gateway Design Automation) in 1984 as a proprietary language for logic • A module is the the basic unit of digital logic in Verilog
simulation • Each of these modules has an interface to other modules as well as a description
• Gateway was acquired by Cadence in 1989 and Verilog was made an open of its contents
standard in 1990 module module_name ( port declarations);
Module
• The language became an IEEE standard in 1995 and was updated in 2001 in1 out1 local variable declaration;
in2 out2 functional description of f
• In 2005, it was updated again with minor clarifications; more importantly, endmodule
SystemVerilog was introduced, which resolve some of the Verilog’s ambiguity and f
adds high-level programming language features that have proven useful in inN outM
verification
• Verilog is a powerful hardware description language, which provides a mechanism • A module definition starts with the keyword module and ends with the keyword
endmodule
for system description, modeling and documentation, simulation, synthesis
(implementation), testing (fault simulation, test generation) and verification • module_name is a user-defined name for the model
• The port declaration defines the module’s external interface
• Modules may contain local signal declarations
• The module description shows the basic definition of a module
• Each statement in a Verilog module ends with a semicolon, except endmodule
and end
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 5 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 6

Gate-level modeling Verilog syntax


• A module represents a digital unit that can be described at various levels of • Identifier
abstraction – A ... Z, a ... Z, 0 ... 9, underscore. First char of identifier must not be a digit
• For example, at the gate-level, we can describe a half-adder as follows: – An _ (underscore) is ignored (used to enhance readability)
A B • Note that Verilog is case-sensitive. For example, y1 and Y1 are different signals in
A B Sum Cout A
0 0 0 0 Sum = A † B=AB’+A’B Sum Verilog. However, using separate signals within a module that only differ in their
0 1 1 0 B capitalization is confusing
1 0 1 0 Cout = A.B Cout HA
Cout • Verilog comments are just like those in C
1 1 0 1
– Comments beginning with /* continue, possibly across multiple lines, to the next */
module halfAdder_gate (input A, B, Sum – Comments beginning with // continue to the end of the line
output Sum,Cout);
xor U00 (Sum, A, B); // instantiating primitive gates – Multi-line comments may not be nested. However, there may be single line
// The output is always the first port in the port list comments inside a multi-line comment
and U01 (Cout, A, B); // all statements are executed concurrently
endmodule • Important: Use comments to explain ports, signals, variables, or group of signals
or variables, modules, functions, etc.
• In Verilog, a primitive gate may be explicitly instantiated by using the primitive
gates
gate_type [delay] instance_name (<output>, <inputs>)

• Verilog supports a set of primitive logic gates:


and,nand,or,nor,xor,xnor,not,buf
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 7 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 8
Four-valued system Signal data types - reg type
• Verilog supports four logic values: • Ports and the local or internal variables of a module are informally called signals
– 0: Logic-0 or FALSE • A signal can belong to one of the two data types: register or net
– 1: Logic-1 or TRUE • Register type in Verilog (i.e., “reg”) should not be confused with hardware
– x: Undefined, unknown, or don’t care registers
– z: High impedance, floating, unconnected (no current flowing) – While the term ‘register’ implies a hardware register, the name is used in Verilog to
indicate a software register (i.e. a variable)
• When a signal is driven to different values (0, 1, or x) by multiple drivers
simultaneously, it is in contention (x) • The type reg simply means a variable that can hold a value (an abstract storage
element) until a new value is assigned to it
– Hence an x can indicate a 0, 1, z, or in transition
• A register declaration always starts with the keyword reg (e.g., reg z;)
• Verilog uses x to indicate an invalid logic level and z is useful for describing a
tristate buffer, whose output floats when the enable is 0 • The default value of register variables is ‘x’
• The x may randomly be interpreted by the circuit as 0 or 1, leading to
unpredictable behavior
• Thus seeing x values in simulation is almost always an indication of a bug or bad
coding practice
• Nevertheless, we can set bits to be x in situations where we don’t care what the
value is. This can help catch bugs and improve synthesis quality

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 9 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 10

Signal data types - net type Net declaration


• Nets are used to model an electrical connection (physical wire) in a circuit • Nets must be declared before being used, except for scalar wires, which is a
between structural entities, such as gates (gate-level modeling) and between source of errors
modules (structural modeling) • Recommendation: Declare all nets explicitly at the top of each module, even
• Internal variables are only used internal to the module and are neither inputs nor when an implicit declaration could be made. This improves the readability and
outputs maintainability of the Verilog code
– They are similar to local variables in programming languages – Verilog-2001 provides `default_nettype none to disable default net declarations. In this
• Since nets are internal signals, they cannot be accessed by outside environment case, any undeclared signals will be a syntax error, which prevents hard-to-debug
wiring errors due to a mistyped name
• A wire is one type of net
• A net declaration always starts with the keyword wire (e.g., wire x,y;)
• Initial values may be specified in declarations: wire wire_variable = value;
• A wire does not store its value but must be driven continuously by its driver (e.g.
x = y;)
– A net driver can be a gate’s output, output of a module, or a continuous assignment
(assign statement)
• The default value of net types is z, i.e., if a net is not driven by any driver, its value
is z

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 11 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 12
Vectors Bit-selects and part-selects
• Signals can be either scalar (one bit) or vector (multiple bits) • Bit select: selection of an individual bit: variable_name[index]
• Vectors are represented using square brackets and the format is [index1:index2] • Part select: selection of a group of bits: variable_name[msb:lsb]
• A type declaration can be written as: – Bit-selects and part-selects can be used as operands in expressions
reg|wire [index1 : index2] List_of_Variables; • Part selects must address a more significant bit on the left of the colon
• We normally use the little endian convention index1>index2, where index1 • Verilog-2001 supports variable part selects by allowing to use variables to select a
indicates the most significant bit (MSB) and index2 indicates the least significant group of bits from a vector
bit (LSB) [base_expr +: width_expr] //positive offset
[base_expr -: width_expr] //negative offset
• Example: wire [15:0] x; //little endian convention; 16-bit signal; x[15] MSB
• The starting point of the part-select (base_expr) can be a variable
• MSB can be a smaller index than LSB
e.g. Reg [0:3] x,y; //big endian convention; //x[0] is the MSB • The width of the part-select (width_expr) must be constant
• MSB:LSB can be any integer (negative numbers too) • The offset direction indicates if the width expression is added to or subtracted
from the base expression
wire [15:12] addr; //MSB:LSB may be any integer
reg [63:0] word;
reg [3:0] byte_num; //a value from 0 to 15
• The port size can also be a range defined as [ MSB : LSB ]
wire [7:0] byteN = word[byte_num*8 +: 8];
input a,b,sel; //3 scalar ports
output [7:0] result; //little endian convention • In the preceding example, if byte_num has a value of 4, then the value of
word[39:32] is assigned to byteN. Bit 32 of the part select is derived from the
• The default port/signal width is one bit
base expression, and bit 39 from the positive offset and width expression
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 13 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 14

Ports definition Ports


• Inputs and outputs of a module (i.e., the ports) model the signals or pins of • Ports are signals to interface with outside environment
hardware components to interface with other modules or components - input for input ports, can be read but cannot be written
- output for output ports can be written but cannot be read
• All the ports in a list of port definitions must be specified
- inout for bi-directional ports can be read and written
port_direction [port_type] [port_size] port_name1, port_name2,... ; • Port connection rules:
- inputs : internally must always be of type net, externally the inputs can be
• Each port must be declared as an input, output or inout, which defines the port connected to a variable of type reg or net
direction - outputs : internally can be of type net or reg, externally the outputs must be
– An input port specifies the internal name for a vector or scalar that is driven by an connected to a variable of type net
external entity - inouts : internally or externally must always be type net, can only be connected
– An output port specifies the internal name for a vector or scalar which is driven by an to a variable net type
internal entity and is available external to the module
reg or net module module module
– An inout port specifies the internal name for a vector or scalar that can be driven net net
net reg or net net
either by an internal or external entity
Inputs Outputs Inouts

• The port type defines the type of the port, such as wire or reg
• An input or inout port cannot be declared to be of type reg
– The default type for port signals is wire
• An inout port may only be driven through a gate with high impedance
capabilities (such as a bufif0 gate)

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 15 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 16
Logic operations Bitwise and reduction operators
• Verilog has built-in logical operations • Bitwise operators: act on single-bit signals or on multi-bit busses and do a bit-by-
• Logic gates are inferred by the use of their corresponding operators bit comparison between two operands
• The operations are defined for four-valued signals – and &, or |, not ~, xor ^, xnor ~^ (or ^~)
• Example: The following truth table shows a truth table for an AND gate using all Operand Operand A&B A|B ~A A^B A~^B
four possible signal values A B
& 1 0 X Z
1010 0011 0010 1011 0101 1001 0110
– Note:
a 1 1 0 X X 1&z =x
b c 0 0 0 0 0
X
1&x =x • Reduction operators: operate on all the bits of an operand vector and return a
X 0 X X
Z X 0 X X z&x=x single-bit value as output
• Note that the gate can sometimes determine the output despite some inputs • These are the unary (one argument) form of the bit-wise operators above
being unknown – and &, or |, xor ^, nand ~&, nor ~|, xnor ~^ (or ^~)
– For example 0 & z returns 0 because the output of an AND gate is always 0 if either
input is 0. Otherwise, floating or invalid inputs cause invalid outputs, displayed as x Operand &A ~&A |A ~|A ^A ~^A
A
• An x output may correspond to a floating gate input (z) or uninitialized input (x) 1010 0 1 1 0 0 1
when the gate can’t determine the correct output value

• Unary Reduction operators imply a multiple-input gate acting on a single bus

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 17 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 18

(2) Dataflow level modeling Combinational logic description using dataflow level modeling
• Three general styles for describing module functionality are dataflow, behavioral • The continuous assignment is always active (driving a 0, 1, x, or z), regardless of
and structural any state sequence in the circuit
• Describing the behavior of a module using continuous signal assignment • Any time the operand or operands on the right hand side (RHS) expression of an
statements is called dataflow modeling assign statement change, the output value is assigned to the left hand side (LHS)
• A continuous signal assignment statement starts with the assign keyword net
• The general form of the assign statement is: – Thus the RHS expression is continuously evaluated as a function of changing inputs
assign [delay] List_of_Net_assignments • If any input to the assign statement changes at any time, the assign statement
where the List_of_Net_assignments are in the form will be reevaluated and the output will be propagated
net = expression {, net = expression }; – This is a characteristic of combinational logic
– Thus continuous assignments provide a means to abstractly model combinational
hardware driving values onto nets
• An expression consists of a set of operands, and one or more operators (logical,
numerical, relational), literal values, and sub-expressions – Use continuous assignments to describe combinational logic that can easily be
described using a straightforward expression
• A complete command such as assign Sum=A^B; is called a statement
• A Verilog module can contain any number of continuous assignment statements
• Continuous assign statement specifies a value to be driven onto a net
• All continuous signal assignment statements execute concurrently
– The expression on the RHS of an assign statement may contain both “register” or
“net” type variables and the LHS must be a net-type signal (i.e., a port or wire) – Thus concurrent assignment statements can be written in any order
– If undeclared, the left-hand side is implicitly declared as a scalar (one bit) net – This is different from conventional programming languages like C or Java in which
statements are evaluated in the order they are written
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 19 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 20
Dataflow level modeling Examples: N-input gates examples
A
module halfAdder_dataflow (input A, B, Sum module or8(input [7:0] a,
output Sum, Cout); B output y);
assign Sum = A ^ B; //^ denotes logical XOR
Cout assign y = |a; // using the reduction operator
assign Cout = A & B; //& denotes logical AND
// |a is much easier to write than
endmodule // assign y = a[7] | a[6] | a[5] | a[4] | a[3] | a[2] | a[1] | a[0];
endmodule

// 3-input gates
module gates3b (input wire [2:0] x ,
output wire and3 ,
output wire nor3 ,
output wire xor3);
assign and3 = &x,
nor3 = ~|x, // reduction NOR
xor3 = ^x;
module fullAdder(input a,b,cin, endmodule
output sum,cout);
assign z = x[1] & x[2] & ... & x[n]; //n-input and gate
• Often, it is convenient to break a assign cout = a & b | cin & (a ^ b); assign z = &x; //it is much easier to describe an n-input and gate
assign sum = cin ^ a ^ b; and A00 (z,x[1],x[2],...,x[n]); //n-input and gate
complex function into intermediate steps endmodule
module fullAdder(input a, b, cin, assign z = ~(x[1] & x[2] & ... & x[n]); //n-input nand gate
output s, cout);
wire p, g;
assign z = ~&x; //n-input nand gate
assign p = a ^ b; nand N00 (z,x[1],x[2],...,x[n]); //n-input nand gate
assign g = a & b;
assign s = p ^ cin;
assign z = ~(x[1] ^ x[2] ^ ... ^ x[n]); //n-input xnor gate
assign cout = g | (p & cin); // do we need parenthesis here?
// No, and has higher precedence than or assign z = ~^x; //n-input xnor gate
endmodule xnor X00 (z,x[1],x[2],...,x[n]); //n-input xnor gate
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 21 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 22

Examples Delay in assign statements


module gates2 (input a, b, • The default delay is zero
module gates (input [3:0] a, b, output and_,
output nand_, • In this example, the delay specifies the delay involved in the exclusive-or, not in
output [3:0] y1, y2, y3, y4, y5); output nor_,
/* Five different two-input logic gates output or_, the wire drivers
acting on 4 bit busses */ output xnor_, module modXor (output [7:0] AXorB,
assign y1 = a & b; // AND output xor_) ; input [7:0] a, b);
assign y2 = a | b; // OR assign and_ = b & a, assign #5 AXorB = a ^ b;
nand_ = ~(b & a), endmodule
assign y3 = a ^ b; // XOR
or_ = b | a,
assign y4 = ~(a & b); // NAND nor_ = ~(b | a), module HalfAdder (input A, B,
assign y5 = ~(a | b); // NOR xor_ = b ^ a, A
output Sum, Cout); Sum
endmodule xnor_ = ~(b ^ a);
assign #2 Cout = A & B; //& denotes logical AND
endmodule B
//after 2 time units assign A&B to Cout
assign #3 Sum = A ^ B; //^ denotes logical XOR Cout
//after 5 time units assign A^B to Sum
module generate_mux (input [0:7] data, Non-constant index in
input [0:2] select, endmodule
output out); expression on RHS
assign out = data[select]; generates a MUX
endmodule

module generate_decoder (input in, Non-constant index in • Verilog synthesizer ignores the delays specified in a procedural assignment
input [0:1] select, expression on LHS
output [0:3] out);
statement
generates a decoder/demux
assign out[select] = in;
endmodule
• May lead to functional mismatch between the design model and the synthesized
netlist
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 23 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 24
Delay in net declaration Logical operators
• If we declare the wire and exclusive-or separately, we could assign a separate • Verilog supports three logical operators: and &&, or ||, not !
delay to the wire driver
wire [7:0] #10 AXorB; • Logical operators are typically used in conditional (if ... else) statements
assign #5 AXorB = a ^ b;
since they work with expressions
• When a delay is given in a net declaration, the delay is added to any driver that
– e.g., if ((x==y) && z) a=1; //if x equals y and z is non-zero
drives the net
• They can work on expressions, integers or groups of bits
• So if a or b changes, AXorB receives the result after 15 time units
• The combined use of a net specification and continuous assign is formally • The logical operators treat their operands as Boolean quantities
specified with the following descriptions of a net_declaration: – A non-zero operand is considered true (1'b1)
net_declaration := | net_type [drive strength] [ vectored | scalared ] [signed] [delay] – A zero operand is considered false (1'b0)
– An ambiguous value (i.e. one that could be true or false, such as 4'bXX00) is
• In this example, we have defined a wand net module wandOfAssigns (input a, b, unknown (1'bX)
output c);
with delay of 10 wand #10 c;
assign #5 c = ~a; • They return a one-bit result 1 (true), 0 (false), or x
– Two assign statements drive the net assign #3 c = ~b;
– One assign statement has delay 5 and the other endmodule Operand Operand A&&B A||B !A !B
A B
has delay 3 1010 00 0 1 0 1
– When input a changes, there will be a delay of fifteen before its change is reflected at
1010 011 1 1 0 0
the inputs that c connects to
– When input b changes, there will be a delay of thirteen
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 25 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 26

Numbers Truncation and padding


• Specifying a constant value may be specified in either the sized or the un-sized • If the size is less than the number of bits specified, the number is truncated from
form the left (the upper bits)
• Syntax for sized constant values: <size>’<base><value> • If the size is greater than the number of bits specified, the number is padded on
– size (optional) is the exact number of bits to represent the number the left with 0s
- 'base (optional) represents the radix. The default base is decimal • However, constants starting with Z or X are padded with leading Zs or Xs to
- <value> defines the value reach their full length when necessary
• Numbers can be specified in a variety of bases – Verilog automatically extend a logic Z or X to the full width of the left-hand side
data = 'bz; //data will be 'hzzzzzzzzzzzzzzzz
Base Symbol Legal Values
• If the size is not given, the number is assumed to have as many bits as the
binary b or B 0, 1, x, X, z, Z, ?, _
expression in which it is being used
octal o or O 0-7, x, X, z, Z, ?, _ – It is better practice to explicitly give the size
decimal d or D 0-9, _ • The characters Z and ? are equivalent in numbers
hexadecimal h or H 0-9, a-f, A-F, x, X, z, Z, ?, _ 10 unsized decimal 0...01010 (32-bits)
‘o7 unsized octal 0...00111 (32-bits)
1'b1 1 bit binary 1
• Note that the base letters, hexadecimal digits, X and Z are not case sensitive
8’hc5 8 bits hex 11000101
6’hF0 6 bits hex 110000 (truncated)
6’hF 6 bits hex 001111 (zero filled)
6’hZ 6 bits hex ZZZZZZ (Z filled)
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 27 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 28
More examples Arithmetic operators
-253 // A signed decimal number
‘haf // An unsized hex number
• Addition +, subtraction -, multiplication, / division, and modulo %
‘h12 // hex number 12 (18 decimal number) • Verilog-2001 adds a power operator, represented by an ** token
‘o12 // octal number 12 (10 decimal number)
‘b1001 // binary number 1001 (9 decimal number) – It will return a real number if either operand is a real value, and an integer value if
8’b10010011 // 8-bit binary number both operands are integer values
8’h12 // hex number 12 taking 8 bits
6’o67 // A 6 bit octal number
8’d12 // decimal number 12 taking 8 bits // 8-bit adder // unsigned 8-bit multiplier
8’b1010_0011 // 10100011 module adder( input [7:0] op1, op2, module umul_8bit (input [7:0] A,
output [7:0] sum ); input [3:0] B;
8’b1 // binary number 00000001 (zero filled)
assign sum = op1 + op2; output [11:0] RES);
16’hf1 // 0000000011110001 (zero filled) endmodule assign RES = A * B;
8’bx // An 8 bit unknown number (8'bxxxx_xxxx)
endmodule
4’b100Z // 100Z
4’bz1 // All but the lsb are Z (4'bzzz1) (Z filled)
module uadder_8bit(input [7:0] A, B,
8’h1? // 0001ZZZZ
output [7:0] SUM,
2’b1? // 1Z
output CO);
4’b10XX // 10XX
wire [8:0] tmp; // internal wires are local variables
assign tmp = A + B;
• An underscore is not allowed as assign SUM = tmp [7:0];
the first character of a number assign CO = tmp[8];
(this would be a valid identifier) endmodule

– Underscores may be included for • The presence of a ‘z’ or ‘x’ in a reg or wire being used in an arithmetic expression
readability, and are ignored
results in the whole expression being unknown (‘x’)
These notes are copyrighted and are strictly for 2017 courses at SDSU. NoEE672 Spring
part of–this publication
2014 may be reproduced, distributed, or transmitted. 29 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 30

Inference Negative numbers


• Synthesis tools generally are able to infer arithmetic operators for the target • Negative numbers are represented in two’s complement form
technology • An integer number with no radix specified (e.g. -12) is considered a signed value
• Typically there are several implementations in the tool’s library for each operator
• An integer with a radix specified (e.g. -8’d12) is considered an unsigned value
– For example, carry-look-ahead adders, ripple-carry adders, Booth multipliers, and -12/3; // evaluates to -4
Wallace Tree multipliers -8’d12 / 3; // i becomes 244/3=81 (i.e. 8'b11110100/3)
• Synthesis tool decides what is the best architectural implementation for a given
• Net and reg types are unsigned by default
operator
reg [15:0] regA; // reg is sized
• Synthesis tool analyzes the netlist of each operator for area and speed, then regA = -16’d12; // stored as 1111_1111_1111_0100= 216-12= 65524
chooses the best one based upon which implementation is the smallest, yet can regA/3 //evaluates to 21861
still meet the timing goals – In this example, reg is assigned a negative value but it is considered as an unsigned
• If no timing constraints are specified the tool chooses smallest architectural • Nets and regs may be declared as signed
implementation reg signed [63:0] data;
wire signed [7:0] vector;
input signed [31:0] a;

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 31 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 32
Sized and unsized numbers Signed and unsigned system functions
• Sized numbers are considered unsigned • In addition to being able to declare signed data types and values, Verilog adds
16'hC501 //an unsigned 16-bit hex value two new system functions, $signed and $unsigned
-8’d12 // stored as 11110100 unsigned – These functions can be used to convert an unsigned value to signed, or vice-versa
• Sized numbers may be signed: the letter ‘s’ can be combined with the radix to • Casting using $unsigned(signal_name) will zero fill the input
indicate that the sized value is signed • Casting using $signed(signal_name) will sign-extend the input
• Syntax for sized signed constant values: <size>’<S><base><value> • If the sign bit is X or Z the value will be sign extended using X or Z, respectively
16'shC501 //a signed 16-bit hex value
• Assigning to a smaller bit width signal will simply truncate the necessary MSB’s as
usual
• When a sized number specified without letter “s” or a register is used in an • Casting to the same bit width will have no effect
expression, its value is always treated as an unsigned number • Therefore, the casting operators, $unsigned and $signed, only have effect when
• Verilog uses 2’s complement arithmetic for signed operands and values casting a smaller bit width to a larger bit
// signed multiply
input [7:0] a, b;
output [15:0] z;
wire signed [15:0] z_sgn;
assign z_sgn = $signed(a) * $signed(b);
assign z = $unsigned(z_sgn);

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 33 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 34

Signed and unsigned arithmetic (2) Structural modeling


module sadd (input signed [7:0] A, • The behavioral description specifies what a particular module does while
input signed [7:0] B,
output signed [8:0] Sum); structural coding style specifies how a module is composed of other modules or
assign Sum = A + B; // A and B are implicitly sign extended primitive gates to achieve a particular behavior
endmodule
• A Verilog module can be described by specifying its internal logical structure — for
module sadder (input signed [7:0] A, B, instance describing the actual logic gates or other modules it is comprised of
output signed [7:0] SUM);
assign SUM = A + B; • A structural model of a digital system uses Verilog module instances to describe
endmodule other components composed of other modules and gate primitives
//signed multiplier //signed multiply • Verilog modules, described at the structural level, behavioral level, dataflow
module mult_signed input signed [7:0] a;
(input signed [2:0] a, output signed [15:0] z1, z2;
level, or any mix of these, can be interconnected with nets, allowing them to
input signed [2:0] b, // cast constant into signed communicate
output signed [5:0] prod); assign z1 = a * $signed(4'b1011);
assign prod = a*b; // mark constant as signed
endmodule assign z2 = a * 4'sb1011;

• Note that many operations such as addition, subtraction, and Boolean logic are
identical whether a number is signed or unsigned
• However, magnitude comparison, multiplication and arithmetic right shifts are
performed differently for signed numbers
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 35 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 36
Structural description Structural description
• To describe the functionality of a digital system, we can partition the design into • Structural modeling describes a module in terms of how it is composed of sub-
modules which can then be further divided until the design is simple enough to be components
described accurately • Each unique copy of a module or a primitive gate is called an instance
• This hierarchical description allows a designer to control the complexity of the • In a top-down description, each of these sub-components can be described
design through the well-known divide-and-conquer approach to large engineering structurally from its building blocks recursively until the pieces are simple enough
design to be described behaviorally
• To allow the creation of a hierarchy in a Verilog description, a module can be • By using module instances to describe complex modules, the designer can better
instantiated within another module manage the complexity of a design
– Note that module definition is different than module instantiation • In a bottom-up description, once a module has been designed (mostly at the
– We define a module by specifying the functionality of that module behavioral level), it can be used by (instantiated in) other modules, which creates
– This module may then be instantiated in other modules as many times a module hierarchy
• Each of these instantiations are called instances of the module • In this case, the behavior of a design can be described structurally, by making
• Multiple instances of the same module are distinguished by distinct instance instances of primitive gates and other modules, connecting them together with
names nets
– Instance names can be used for debugging
• Note that a module cannot contain definitions of other modules

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 37 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 38

Module instantiation format Structural modeling of a full-adder


• Module instantiation format: • If you have already described halfadder, you can instantiate it in another module
<module_name> <instance_name> (wire_connected_to_port1,
to create a full adder Cin A B
wire_connected_to_port2,
. . . module halfAdder(input in1, in2,
output S,C); w3
wire_connected_to_portn); xor U00 (S, in1, in2); w2
HA HA
and U01 (C, in1, in2); Cout
• For connecting the ports of the instantiated module to the nets in the top-level endmodule
module, we can use a positional association
module fullAdder (input A, B, Cin, Sum w1
• In a positional (ordered) port connection, the first net connects to the first port of output Sum, Cout);
the component, the second net to the second port, etc. wire [1:3] w; //wire [msb:lsb] wire1, wire2,...

• Recall that when you instantiate Verilog primitives, positional association is used halfAdder HA0(A, B, w[1], w[2]); //instantiation of HAs
halfAdder HA1(w[1], Cin, Sum, w[3]); //half_adder is defined (previously)
//in another module
Module name Instance name
assign Cout = w[2] || w[3]; //the same as or g1(Cout,w[2],w[3]);
endmodule

• In this example, fullAdder is called the top-level module


• Note that a module may contain a combination of behavioral modeling
statements (always statements), continuous assignment statements (assign
statements), or module instantiations referencing other modules or gate level
primitives
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 39 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 40
Structural modeling example Array of instances
• Consider this module example: module xor8 (output [1:8] xout,
module 4bitAdder (input [3:0] A,B, input [1:8] xin1, xin2);
output [3:0] S, • This definition of the xor8 module is rather xor (xout[8], xinl [8], xin2[8]),
(xout[7], xinl [7], xin2[7]),
output Cout); tedious because each XOR instance had to be (xout[6], xinl [6], xin2[6]),
individually numbered with the appropriate (xout[5], xinl [5], xin2[5]),
wire [3:1] C; //Intermediate carry signals (xout[4], xinl [4], xin2[4]),
bit (xout[3], xinl [3], xin2[3]),
// instantiate an array of instances (xout[2], xinl [2], xin2[2]),
fullAdder FA0 (A[0],B[0],, S[0],C[1]), • Verilog has a shorthand method of specifying (xout[l], xinl [l], xin2[l]);
endmodule
FA1 (A[1],B[1],C[1], S[1],C[2]), an array of instances where the bit numbering module xor8 (output [1:8] xout,
FA2 (A[2],B[2],C[2], S[2],C[3]),
input [1:8] xin1, xin2);
A3 B3 A2 B2 A1 B1 A0 B0 of each successive instance differ in a
FA3 (A[3],B[3],C[3], S[3],Cout);
xor a[l:8] (xout, xinl, xin2);
Cout endmodule controlled way endmodule
C3 C2 C1
S3 S2 S1 S0 • The second module is the equivalent redefinition of module xor8 using arrays of
instances
A 4-bit binary adder can be
formed with four full-adders
• The array of instances specification uses the optional range specifier to provide
the numbering of the instance names
• In this example, 4bitAdder is the top-level module • There are no requirements on the absolute values or the relationship of the msb
or lsb of the range specifier — both must be integers and one is not required to be
• As can be seen in this example, module ports may be left unconnected in a
larger than the other
positional port connection list by omitting an expression, leaving two adjacent
commas • Indeed, they can be equal in which case only one instance will be generated
• Given msb and lsb, 1 + abs(msb-lsb) instances will be generated
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 41 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 42

Array of instances Name association


• This example showed the case where each instance was connected to a bit-select • It is easy to swap two ports accidentally in an ordered list
of the outputs and inputs • If the ports are both the same width and direction, then such errors can be
• When the instances are generated and the connections are made, there must be difficult to debug
an equal number of bits provided by the terminals (ports, wires, registers) and • Verilog allows to connect to a module’s ports by naming the port and giving its
needed by the instances connection
• In this example, eight instances needed eight bits in each of the output and input • It is recommended to use named port connections to avoid this problem and
ports (It is an error if the numbers are not equal.) improve readability
• Instances are not limited to bit-select • In a name association, the module’s port names are used and the order of
connections module register (output [7:0] q, connections is irrelevant
input [7:0] d,
• If a terminal has only one bit (it is scalar) but input CLK, RST); • The period (“.”) introduces the port name as defined in the module being
dff
there are n instances, then each instance will endmodule r[7:0] (q, d, RST, CLK);
instantiated
be connected to the one-bit terminal
module registerExpanded (output [7:0] q,
• This example shows D flip flops connected to input [7:0] d,
input CLK, RST); <module_name> <instance_name> (.port1 (wire_connected_to_port1),
form a register dff r7 (q[7], d[7], RST, CLK), .port2 (wire_connected_to_port2),
r6 (q[6], d[6], RST, CLK), . . .
r5 (q[5], d[5], RST, CLK), .portn (wire_connected_to_portn) );
• The equivalent module with the instances r4 (q[4], d[4], RST, CLK),
expanded is shown at the bottom r3 (q[3], d[3], RST, CLK),
r2 (q[2], d[2], RST, CLK),
• Note that CLK and RST, being one-bit scalars, r1 (q[1], d[l], RST, CLK),
r0 (q[0], d[0], RST, CLK);
are connected to each instance endmodule
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 43 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 44
Name association Functional simulation using testbenches
module halfAdder(input in1, in2, • The idea of simulating a Verilog module is similar to an engineer’s workbench
output S,C);
xor U00 (S, in1, in2); where the system being designed is wired to a test generator that is going to
and U01 (C, in1, in2);
endmodule
provide inputs to the design under test and monitor the outputs as they change
• A testbench (or test fixture) is an HDL module that passes test vectors (or stimuli)
module fullAdder (input A, B, Cin,
output Sum, Cout);
to another module, called the design (module, unit) under test (DUT)
wire [1:3] w; //wire [msb:lsb] wire1, wire2,... Testbench

halfAdder HA0(.in1(A), .in2(B), .S(w[1]), .C(w[2])); Test generation Design


halfAdder HA1(.in1(w[1]), .in2(Cin), .S(Sum), .C(w[3])); and monitor under test

assign Cout = w[2] || w[3]; //the same as or g1(Cout,w[2],w[3]);


• There are no input or output ports for the testbench
endmodule • In order to simulate a module, the test vectors need to be known so
Test fixture
as to generate known output signals Apply Inputs
• Given that both port names and connection names are specified together, the
connections may be listed in any order • The test vectors (inputs to the DUT) are defined as reg and the
outputs are defined as wire DUT
• Ports may be left unconnected in a named port connection list either by omitting
the name and expression altogether, or by leaving the expression blank in the • Simulator can apply testvectors to the DUT at some specified time,
which could be in the future rather than immediately Observe
parenthesis outputs
• Test benches are not synthesizable so it can use all Verilog
commands
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 45 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 46

Initial statement Delayed assignment


• One approach to apply stimuli to the DUT is to use initial statements • ƚŝŵŝŶŐĐŽŶƚƌŽůηѐt; is commonly used in testbenches to delay or schedule
• An initial statement contains a statement or block of statements execution of statements
initial [begin] • A timing (delay) control before a statement causes the execution of the
... Procedural statements ... immediately following statement to be delayed
[end]
initial begin
• An initial statement executes the statements in its body at simulation time zero a = 0;
(at the beginning of simulation) only once and finish when the last statement ¨t Procedural_statement; #50 a = 1;
#30 a = 0;
executes #20 a = 1; Each assignment is blocked by its previous one
• The initial statement starts with the first procedural statement and end

continues executing until it finds the delay on the next statement • ѐt is the number of time units, specifies the delay time units before a statement
• The initial block is then suspended and scheduled to wake up at certain time is executed (during simulation)
• Therefore, an initial statement is typically used in testbenches to initialize • /ƚŵĞĂŶƐƚŚĂƚѐt time units has to pass before the RHS statement is executed
variables and uses procedural statements and delays to apply the test vectors in and the result is assigned to the LHS
the appropriate order • The expression on the RHS of a procedural assignment is evaluated when the
assignment is executed
• Initial statements are not synthesizable and should only be used in
testbenches for simulation, not in modules intended to be synthesized into • When no delay is specified, the default is zero
actual hardware • Note that when a delay time of zero is specified (#0), it forces the statement to
• The test vectors can be specified using one or more initial statements the end of the list of statements to be evaluated at the current simulation time
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 47 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 48
Compiler directives `timescale compiler directive
• Compiler directives are instructions to the Verilog compiler • Simulation times have been described in terms of “time units”
• Compiler directives start with a back tic ` and executed prior to simulation time zero and • Any design that includes #delays relies on the accuracy of the specified time units
synthesis and precisions set by the compiler directive ‘timescale
• The effect of a compiler directive starts from the place where it appears in the source code,
• The `timescale compiler directive is used to define time units of any delay
and continues through all modules synthesized after the directive, whether in the same
file, or in files that are synthesized separately, to the point where the directive is reset,
operator (#) and the precision to which time calculations will be rounded
`timescale TimeUnit / PrecisionUnit
until the next appearance of the directive, or the end of the last module to be synthesized,
or until where TimeUnit and PrecsionUnit are in TU format:
T = {1, 10, 100}
– A compiler directive can be used with different values in different modules U = {s, ms, us, ns, ps, fs}
• Example: • Precision is the maximum number of decimal places used in time values
– `include file_name // include source code from another file
– `define macro_name macro_code // substitute macro_code for macro_name – Hence, the precision unit defines how delay values are to be rounded off during
– `define macro_name(par1, par2,...) macro_code // parameterized macro
– `undef macro_name // undefine a macro simulation and all delays are rounded to the nearest precision unit
– `timescale 1ns/1ns // units/precision for time e.g. for %t
– `default_nettype net_type // sets the default net type for implicit net declarations, net_type is one of: • Precision unit must be less than or equal to the time unit
// wire, tri, tri0, tri1, triand, trior, trireg, wand, wor, none
– `ifdef macro_name1 // include source lines1 if macro_name1 is defined – Note that only 1, 10 or 100 are valid integers for specifying time units and valid time
<source lines1> // the source lines1 units include s, ms, us (μs), ns, ps, fs
`elsif macro_name2 // any number of elsif clauses, the first defined
<source lines2> // macro_name includes the source lines • The default is 1ns with precision of 100ps
`else // include source lines3 when no prior macro_name defined
<source lines3> // the source lines 3
`endif // end the construct
– `ifndef macro_name // like `ifdef except logic is reversed, true if macro_name is undefined
– `resetsall resets all compiler directives to default values
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 49 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 50

Example Full-adder and its testbench


module fullAdder(input a, b, cin,
• If the compiler directive , `timescale 1ns/100ps was placed before a module output sum, cout);
definition, then all delay operators in that module and any module that followed it assign cout = a & b | cin & (a ^ b);
assign sum = cin ^ a ^ b;
would be in units of nanoseconds and any time calculations would be internally `timescale 1ns / 1ns
endmodule DUT
rounded to the nearest one hundred picoseconds (1/10ns) module tb_fullAdder;
wire s,co; //outputs are defined as wires
– For example 10.512ns is interpreted as 10.5ns reg a,b,ci; // stimuli are defined as regs
• Example: `timescale 10ps/1ps
// Instantiate the design under test (DUT) in the testbench
nor #3.57 (z, x1, x2); // nor delay = 3.57 x 10 ps = 35.7 ps => 36 ps fullAdder FA00 (.a(a),.b(b),.cin(ci),.cout(co),.sum(s));

• The `timescale directive can have a huge impact on the performance of initial begin
a=1'b0; b=1'b0; ci=1'b0; // time = 0
simulators. It is a common new-user mistake to select a time precision of 1ps #10; ci=1'b1; // time = 10.
• Adding a 1ps precision to a model that is adequately modeled using either 1ns or // Note that only ci is changed at time=10
#10; b=1;//the same as b=1’b1;// time = 20
100ps time precisions can increase simulation time by more than 100% and #10; ci=1'b0; a=1'b1; // time = 30
simulation memory usage by more than 150% #1 $finish; // Verilog built-in system function
end
endmodule

• Use tb_modulename for naming your testbenches


• List the variables only when their values change
• $finish is a control task that exits the simulator and the control returns back
to the host operating system
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 51 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 52
2-to-4 decoder Concatenation operator
module decoder2to4 (input A,B,En, B • The concatenation operator {op1, op2, ..} combines two or more operands
output [0:3] y); y0
wire Anot,Bnot; A to form a larger vector
not // multiple gates of the reg a;
// same type are separated by , y1
U0 (Anot,A),
reg [2:0] b, c;
U1 (Bnot,B); a = 1’b1; b = 3’b010;
and y2 x = {a, b}; // x = 1010
U2 (y[0],Anot,Bnot,En), y = {b, 2’b11, a}; // y = 010_11_1 //underscore is just for readability
U3 (y[1],Anot,B,En),
U4 (y[2],A,Bnot,En), Array of z = {b, 1};// incorrect. The operands must be sized
y3
U5 (y[3],A,B,En); instances En
endmodule • Often, it is necessary to operate on a subset of a bus or to concatenate, i.e., join
module tb_decoder2to4; together, signals to form busses
module decoder2to4 (input A, B, En, wire [0:3] y; //outputs are defined as wires reg [15:0] a,b;
output [0:3] y); reg A, B; // stimuli are defined as regs
assign y[0] = ~A & ~B & En, {b[7:0],b[15:8]}= {a[15:8],a[7:0]}; // byte swap
reg EN;
y[1] = ~A & B & En,
y[2] = A & ~B & En, // Instantiate the decoder (DUT) wire [7:0] A,B; wire [3:0] C; wire [11:0] D;
y[3] = A & B & En; decoder2to4 UUT (.A(A), .B(B), .En(EN), .y(y));
endmodule
assign {A,B} = {C,D};
initial begin
assign {A,B} = X; // split X into A and B. The width of A and B are defined
Dataflow model A = 1'b0; B = 1'b0; EN = 1'b0; // time = 0
wire [7:0] A1,B1,A2,B2,A3,B3;
#10; EN = 1'b1; // time = 10
#10; A = 1'b0; B = 1'b1; // time = 20 wire [15:0] X = 16'b0000001111001100;
#10; A = 1'b1; B = 1'b0; // time = 30 assign {A1,B1} = X; // split X into A and B
#10; A = 1'b1; B = 1'b1; // time = 40 wire [19:0] Y = 20'b00000011110011001111;
#5; EN = 1'b0; // time = 45 assign {A2,B2} = Y;
#5;
wire [11:0] Z= 12'b001111001100;
end
endmodule assign {A3,B3} = Z;
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 53 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 54

Replication operator Some tricky points


• The replication operator {N{item}} makes N fold replication of item • A sized negative number is not sign extended when assigned to a register
– N is a constant value reg [7:0] byte;
reg [3:0] nibble;
initial begin //Initial statements are used in testbenches
a = 1’b1; b = 3’b101; nibble = -1; // i.e. 4'b1111
r = {3{a}, b}; // r = 111_101 byte = nibble; // byte becomes 8'b0000_1111
//{4{4'b1001,1'b0}} // 10010100101001010010 end
assign x = {2{1’b0}, a}; // 001
• To perform signed arithmetic, all operands in the expression must be signed
– If any operand in an expression is unsigned, the operation is considered to be unsigned

• Consider this addition example module uadd (input [2:0] A,


input [2:0] B,
• Adding two values that are n-bits input cin,
wide will produce a n+1 bit result output [3:0] Sum);
assign Sum = {A[2],A} + {B[2],B} + cin;
– In general adding an m-bit and an endmodule
n-bit numbers require
max(m,n)+1 bit for results
• In this example, A and B and cin
are unsigned and hence the
addition is unsigned

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 55 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 56
Some tricky points Splitting the output
• Consider this addition module module sadd (input signed [2:0] A, • We can use concatenation operator on the left hand side of a statement to split an
input signed [2:0] B, output into pieces
• This code is incorrect input cin,
– If cin = 1, then the $signed output signed [3:0] Sum); • This example shows how to split an output into two pieces, SUM and Cout
operator sign extends the cin so it assign Sum = A + B + $signed(cin);
module adder4bits (input [3:0] A,B, // little endian convention
endmodule
now equals 4’b1111 and we would input Cin,
output [3:0] SUM,
have been subtracting 1 instead of output Cout);
adding 1 assign {Cout,SUM} = A + B + Cin;
// {} is the concatenation operator
• A similar functional error occurs if we declare cin to be a signed input // the 4 least significant bits of A+B+Cin will be stored in in SUM
// and the most significant bit will be stored in Cout
• We can use a concatenation operator to solve the issue endmodule

module sadd (input signed [2:0] A,


input signed [2:0] B, • This example shows how to sign extend a 16-bit number to 32 bits by copying the
input cin, most significant bit into the upper 16 positions
output signed [3:0] Sum);
assign Sum = A + B + $signed({1'b0,cin}); module signextend (input [15:0] a,
module mul (input [7:0] a, b,
endmodule output [31:0] y);
output [7:0] upper, lower);
assign {upper, lower} = a*b; assign y = {{16{a[15]}}, a[15:0]};
• Note that concatenation results are unsigned, regardless of the operands endmodule endmodule

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 57 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 58

Some tricky points - Sign of part selects Some tricky points - Mixing signed and unsigned
• Part-select results are unsigned, regardless of the operands, even if part-select • Do not mix unsigned and signed types in one expression
specifies the entire vector – This results in functional incorrectness because Verilog interprets the entire expression
//Functionally incorrect
as unsigned if one operand is unsigned
input signed [7:0] a, b; • The synthesizer generates a warning message when unsigned-to-signed/signed-
output signed [15:0] z1, z2;
assign z1 = a[7:0]; // a[7:0] is unsigned -> zero-extended to-unsigned conversions occurs (Check for warnings about implicit
assign z2 = a[6:0] * b; // a[6:0] is unsigned -> unsigned multiply conversions/assignments)
//Functionally correct //unsigned multiply // unsigned multiply
input signed [7:0] a, b; input [7:0] a; input signed [7:0] a;
output signed [15:0] z1, z2; input signed [7:0] b; output signed [11:0] z;
output signed [15:0] z; // constant is unsigned
assign z = a * b; assign z = a * 4'b1011;
assign z1 = a; // a is signed -> sign-extended
assign z2 = $signed(a[6:0]) * b; // cast a[6:0] to signed -> signed multiply //signed multiply //signed multiply
input [7:0] a; input signed [7:0] a, b;
input signed [7:0] b; output signed [15:0] z;
output signed [15:0] z; assign z = a * b;
assign z = $signed(a) * b;

• If we multiply –3 (3’b101) by 2 (3’b010) with the following code we get 10


(6’b001010) module mult (input signed [2:0] a,
– The reason for this is that since we input [2:0] b,
output signed [5:0] prod);
mixed signed with unsigned we actually assign prod = a*b;
multiplied 5 by 2 and got 10 since the endmodule
operation is considered unsigned
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 59 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 60
Relational and equality operators Logical and case equality and inequlity operators
• The relational operators typically used in conditional expressions • The logical equality == and inequality != operators will return an X if any bit
• These include > (greater than), >= (greater than or equal), == (equal), and != (not of an operand is X or Z
equal) • However, the case equality operator (===) and inequality operator (!==) can be
• Relational operators compare two operands and indicate whether the comparison used to specify that individual unknown or high impedance bits are to take part
is true or false in the comparison
– They evaluate to a Boolean false, which is equivalent to one bit 1’b0 and Boolean true, – That is, a 4-valued logic comparison is done where the value of each bit being
which is equivalent to 1’b1 compared, including the unknowns and high impedances, must be equal
• These operators synthesize into comparators – Therefore, case equality === and inequality !== operators: X and Z values are
considered in comparison
• Note that since reg and wire types are unsigned, the synthesized comparators
will be unsigned • While the case equality operators (===, !==) and the bitwise operators treat
the individual bits of their operands separately, the case equality operators are
• If the comparison is ambiguous, the result is unknown (1'bX)
not generally synthesizable
2'b10 > 2'b0X // is true (1'b1)
2'b11 > 2'b1X // is unknown (1'bX)
Operand A Operand B === !== == !=
• So a comparison operator may return 0, 1 or x 0110 0110 1 0 1 0
0110 0XX0 0 1 X X
• The rules about unknown and ambiguous comparisons using == != < > <= >= 0XZ0 0XZ0 1 0 X X
are not followed closely by all simulators. Be careful!

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 61 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 62

Summary Shift operators


• Logical shift operators >> and << shift the first operand by the number of bits
specified by the second operand
• Result is the same size as first operand, always zero filled from the left or right
a = 4’b1010;
d = a >> 2; // shift right: d = 0010
c = a << 1; // shift left: c = 0100
• Vacated positions are filled with zeros for both left and right shifts (There is no
Note distinction between ~a and !a
sign extension)
• Arithmetic shift operators <<< and >>> have been added to Verilog-2001

• Note the distinction between the unary reduction operators and the bitwise logic • An arithmetic right-shift operation >>> maintains the sign of a value, by filling
operators, which look the same. The meaning depends on the context, and with the sign-bit value as it shifts
brackets may be needed to force a particular interpretation – For example, if d=8’b10100111 is an 8-bit signed variable, then
d >> 3 //logical shift yields 8'b00010100
module mulTest (output [63:0] y, d >>> 3 //arithmetic shift yields 8'b11110100
input [31:0] a, b);
assign y = a * b; //defaults to wire, width of port y
assign eq = (a == b);// defaults to 1-bit wire in Verilog-2001
// ERROR in Verilog 1995: ‘eq’ is not
// declared
endmodule
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 63 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 64
The conditional operator Multiplexer examples
• The conditional operator ( ?:) can be used in place of the if statement when one of
module mux2x1 (input a, b, sel;
two values is to be selected for assignment output out, outbar);
wire w;
• The general form of the conditional operator is: assign w = sel ? a : b;
signal ::= conditional_expression ? true_expression : false_expression assign out = w;
assign outbar = ~w; //~ denotes not
• If the conditional_expression is TRUE (or nonzero), then the operator chooses endmodule
the value of the true_expression to be assigned to signal. Otherwise the value
of false_expression will be assigned to signal • Could have we defined out as inout instead of using wire w?
• ?: is also called a ternary operator because it takes three inputs • Two different description of the same module (multiplexer):
• ?: is especially useful for describing a multiplexer module mux(output f, module mux(output f,
• The conditional operators are synthesizable as multiplexers or tri-states input a,b,sel) input a,b,sel);
assign f=(a&~sel) | (b&sel); wire nsel,d0,d1;
• Multiplexer is a combinational circuit where an input is chosen by a select signal endmodule not g1 (nsel, sel);
and g2 (d0, nsel, a);
module generate_set_of_MUX (input [0:3] a, b,
Conditional operator and g3 (d1, sel, b);
input sel,
output [0:3] f); or g4 (f, do,d1);
generates a MUX
assign f = sel ? a : b; endmodule
endmodule

• Note that a two-input mux is actually a three-input device (a,b,sel): out =a if


sel =1 and out= b if sel =0
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 65 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 66

A 4-to-1 multiplexer Operator precedence


//A 4:1 multiplexer can select one of four inputs using nested conditional • The operator precedence specifies the order of evaluation
//operators.
module mux4(input [3:0] d0, d1, d2, d3, • This table shows the precedence of operators from highest to lowest
input [1:0] s, – Operators on the same level evaluate from left to right
output [3:0] y);
assign y = s[1] ? (s[0] ? d3 : d2) : (s[0] ? d1 : d0); • When an expression is evaluated, the operator with higher precedence is
endmodule evaluated first
• If s[1] = 1, then the multiplexer chooses the first expression, (s[0] ? d3 : d2). This
expression in turn chooses either d3 or d2 based on s[0] (y = d3 if s[0] = 1 and d2
if s[0] = 0). If s[1] = 0, then the multiplexer similarly chooses the second
expression, which gives either d1 or d0 based on s[0]

module mux_4bits(input [3:0] a, b, c, d,


input [1:0] sel,
output [3:0] y); a[3:0]
assign y = b[3:0]
(sel == 0) ? a : c[3:0] y[3:0]
(sel == 1) ? b :
(sel == 2) ? c : d[3:0]
(sel == 3) ? d : 4'bx;
endmodule
sel[1:0]

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 67 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 68
Operator precedence – Cont’d Summary of operators
• For example, in the a + b >> 1 expression, a + b is evaluated first and then • Arithmetic operators
>> 1 is evaluated m+n m-n –m m*n m/n m%n (modulo), ** (in verilog 2001 only)

• We can use parentheses to alter the precedence, as in a + (b >> 1 ) • Bitwise operators


~m m&n m|n m^n m~^n m^~n
• It is a good practice to use parentheses to make an expression clearer, as in (a +
• Unary reduction operators
b) >> 1, even when they are not required
&m ~&m |m ~|m ^m ~^m ^~m
• Logical operators
!m m&&n m||n
• Equality operators (compares logic values of 0 and 1)
m==n m!=n
• Identity operators (compares logic values of 0, 1, X and Z)
m===n m!==n
• Relational operators
m<n m>n m<=n m>=n
• Logical shift operators
m<<n m>>n
• Miscellaneous operators
sel?m:n {m,n} {n{m}}

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 69 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 70

(3) Behavioral level Behavioral level


• We learned that the behavior of a module can be described using continuous • The basic Verilog statement for describing the behavior is an always block
signal assignment statements • An always statement starts off with an event always [event_control]
begin [: name_for_block]
• A behavioral model of a module is an abstraction of how the module works control statement [variable declaration]
(describing the function of a module behaviorally) without directly specifying how • An event occurs when a signal (a net or register) ... Procedural statements ...
end
the module is implemented in terms of structural logic gates changes its value
• In this case, the behavior of logic is described similar to a programming language • An event control statement always starts with symbol @ and has the format of
at the higher-level of abstraction than gate-level modelling and dataflow level @(sensitivity list)
modelling
• The general form of the event control statement is:
• In this way, the designer can focus on developing the design that works correctly • event_control::= @ event_identifier |@ (event_expression)|@* |@(*)
and has the intended behavior |(<pos|neg>edge <signal>)
• Behavioral models are useful at the early stages of the design cycle where a • Event control statements provide a means of watching for a change in a value
designer is more concerned with simulating the system’s intended behavior
• Synthesis tools read a behavioral description of a circuit and automatically design
a gate level structural version of the circuit
• The behavioral model can be synthesized to several alternate structural
implementations of the behavior

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 71 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 72
Sensitivity list Examples
• The sensitivity list of an always block is the list of names appearing in the event • The always block can be used to infer combinational logic and sequential logic
control statement module halfAdder_behavioral(input A, B,
output reg Sum, Cout); A
• Sensitivity list specifies events on which signals activating always blocks Sum
always @(A,B) begin // the same as always @* B
• An event control is triggered when any one of the events in the sensitivity list Sum = A ^ B; Cout
Cout = A & B;
occurs end
– Any number of events can be expressed in the event control statement such that the endmodule
occurrence of any one of them will trigger the execution of the statement • always block reevaluates the statements inside the always statement any time
• These event identifiers are separated with or (in Verilog 1995) or commas (in any of the signals in the sensitivity list change
Verilog 2001) , which allows us to wait for any of several events • In this example, the always statement states that the simulator should suspend
• Sensitivity list can be used to describe both combinational and sequential logic execution of this always block until an event (change) occurs on A or B
– Sensitivity list for the combinational logic has the format of always • Every always starts executing at the start of simulation
@(list_of_sensitive_signals)|@*|@(*) • When a change occurs on any one (or more) of these, then execution will
– Sensitivity list for the sequential logic has the format of always @ (<pos|neg>edge continue with the statements in the begin … end block
<signal>)
• If, while waiting for the event, a new value for the expression is generated that
• Essentially, @(*) is shorthand for “all the signals on the right-hand side of the
happens to be the same as the old value, then no event occurs
statement or in a conditional expression” module mux(output reg f,
• 2:1 multiplexer at the behavioral level: input a,b,sel)
always @*
f=(a&~sel) | (b&sel);
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 73 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publicationendmodule
may be reproduced, distributed, or transmitted. 74

Reg type in procedural statements Nets vs. regs


• A register type must be used when the signal is on the left-hand side of a • Nets are used to model connections between continuous assignments &
procedural assignment (e.g., target variables in the body of an always statement) instantiations
• Why only reg type variables can be assigned within an always block? • Nets must be continuously driven by primitive, continuous assignment, module
– The sequential always block executes only when the event expression triggers ports
– At other times the block is in the suspended mode
• Net variable can be referenced anywhere in module, but they may not be
– A signal being assigned to must therefore retain the last value assigned (not assigned within procedural blocks. Exception: force … release
continuously driven)
– Hence, in a procedural blocks, the value of nets can be read but cannot be assigned
• Register variable can be referenced anywhere in module, but they can be assigned
only with procedural statements • The ‘reg’ declaration explicitly specifies the size
– reg x, y; // single-bit register variables
– Anything assigned in an always block must be declared as type reg – reg [15:0] bus; // 16-bit bus, bus[15] MSB

• Register variable cannot be input or inout


LHS must be a reg type LHS must be a net type

reg [8:0] sum; wire [8:0] sum; wire y;


always @(a or b) assign sum = a + b; and g1(y, c, d);
sum = a + b;

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 75 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 76
While TRUE Combinational logic using always
• An always block uses procedural statements to model a design at a higher level of • A combinational logic will be inferred if the sensitivity list is written to respond to
abstraction than the other levels changes in all of the inputs and the body prescribes the output value for every
• An always containing more than one procedural statement must enclose the possible input combination
statements in a begin-end block (i.e., a compound statement) • This follows from the very definition of combinational logic — any change of any
– begin ... end block statements are used to group several statements input value may have an immediate effect on the resulting output
• The always statement, essentially a “while (TRUE)” statement, includes one or • Thus when describing combinational logic using procedural statements, every
more procedural statements that are repeatedly executed element of the always block’s input set must appear in the sensitivity list of the
– An always with no event control will loop forever event statement without any edge specifiers (i.e., posedge and nededge)
• All statements within the always statement are executed sequentially once when • For a combinational logic, the list must specify only level changes and must
one or more than one event (i.e., change in the logical value of a signal) occur on contain all the variables appearing in the right-hand-side of statements in the
any signal in the sensitivity always
• The always continuously repeats its statement(s), never exiting or stopping • If an element of the input set is not in the sensitivity list, or only one edge-change
• The execution of the process containing the event control is suspended until the is specified, then it cannot have an immediate effect, which is not true of
change occurs combinational circuits module shift (input [3:0] data,
output reg [3:0] q1, q2);
• Thus, the value must be changed by a separate process parameter B = 2;
always @* begin
• A behavioral module can be described using one or more always blocks q1 = data << B; // logical shift left
q2 = data >> B; //logical shift right
• Several always statements are executed continuously and concurrently end
endmodule
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 77 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 78

@* Integer and regs


• always @(complete event list) synthesizes to a combinational logic • Register types supported for synthesis are reg and integer
• A common error in specifying combinational circuits with procedural statements is • For integer, it takes the default size, usually 32-bits, and the synthesizer tries to
to incorrectly specify the sensitivity list determine the size
• If the intent is to describe a combinational circuit using an always block, the • Note that in arithmetic expressions, an ‘integer’ is treated as a 2’s complement
explicit sensitivity list can replaced with a @(*) or @* constructs signed integer but a reg is treated as an unsigned quantity
module logical (input [3:0] A, B; module arithmetic (input [3:0] A, B, • General rule of thumb: reg used to model actual hardware registers such as
output reg Q[1:6]); output reg [4:0] Q1, counters, accumulator, etc., however, ‘integer’ used for situations like loop
always @* begin output reg [3:0] Q2, Q3,
Q[1] = A > B; //greater than output reg [7:0] Q4); counting
Q[2] = A < B; //less than always @* begin
Q[3] = A >= B; //greater than equal to Q1 = A + B; //addition
• When integer is used, the synthesis tool often carries out a data flow analysis of
Q[4] = A <= B //less than equal to Q2 = A - B; //subtraction the model to determine its actual size
Q[5] = A == B; //equality Q3 = A / 2; //division
wire [1:10] A, B;
Q[6] = (A != B) //inequality Q4 = A * B; //multiplication
integer C;
end end
C = A + B; What is the size of C?
endmodule endmodule

• The @* token indicates that the simulator or synthesis tool should automatically • No ranges or arrays supported (is this correct?)
be sensitive to changes on any values which are read in the body of always
statement

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 79 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 80
Local variables in an always statement Recommendations
• You can define local variables inside an always statement to limit the scope of • Several modules may be described in one file (not recommended)
variables • It is better to name the file and module the same name. This avoids any confusion
• It can include register, integer and parameter declarations while compiling the files and during the synthesis
• The local variable is only visible inside the block. So you can use another variable • When the question asks you to describe a module at a particular level, you should
with the same name outside of this scope model your design in that style only and you are not allowed to use any other
• In general, if a begin-end block has local declarations, it must be named (i.e. it style
must have a label) – For example, if the question asks you to model a design at the behavioral level, then
you should describe your module using always statements only. Using gates (gate-
always [event_control_statement] begin [: name_for_block] level modeling), assign statements (dataflow level modeling), and module
[variable declaration] instantiation (structural level modeling) are not allowed
... Procedural statements ...
end • However, if the question does not specify a particular modeling style, then you
can describe your module at any level
• A behavioral model may contain one or more always statements • Low level gates or Boolean level constructs (Verilog primitives) constrain the
• All always blocks in a module execute simultaneously synthesis tool
• This is very unlike conventional programming languages, in which all statements – Don’t spend a lot of time trying to force synthesis tool to implement a gate-level
execute sequentially solution by describing the module with primitive gates
• Note that modules may not be instantiated inside procedural blocks, such as – The synthesis tool takes Boolean expressions and gate level instantiations and translate
always statements them to an optimized description. You almost never get exactly the gate-level
implementation
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 81 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 82

Parameterized modules Parameterized modules


• Module definitions may be expressed using parameters • The parameters can be specified right after the module keyword and name
• A parameter is a constant with a name that is local to a module parameter_declaration := parameter [ signed ] [ range ] list_of_param_assignments;
| parameter integer list_of_param_assignments;
• Each instance of a module may redefine the parameters to be unique to that | parameter real list_of_param_assignments;
instance | parameter realtime list_of_param_assignments;
| parameter time list_of_param_assignments;
• Since parameters can be overridden, they allow customization of a module during
instantiation
• Parameters can be sized and typed
• Thus, you can build modules that are parameterized and specify the value of the – The types of parameters that can be specified include signed, sized (with a range)
parameter at each instantiation of the module parameters, as well as parameter types integer, real, realtime, and time.
• For parameterized modules, parameter declarations typically precede the port – The size of the parameter is decided from the constant itself (32-bits if nothing is
declarations specified). Example: parameter HI = 25, LO = 5; parameter up = 2b’00;
• The list of parameters is introduced and declared before the port list so that some • Not only does this allow us to reuse the same module definition in more
of the port specifications can be parameterized situations, but it allows us to define generic information about the module that
module multiplier #(parameter SizeA = 8, module multiplier (mult, a, b); can be overridden when the module is instantiated
SizeB = 4) parameter SizeA = 8,
(output [SizeA+SizeB-1:0] mult, SizeB = 4; • Thus the parameterized modules can now be used (instantiated) for multipliers
input [SizeA-1:0] a, output [SizeA+SizeB-1:0] mult;
input [SizeB-1:0] b); input [Sizea-1:0] a with different widths, simply by changing the default value of the parameters in
assign mult = a * b; input [Sizeb-1:0] b; the calling module
endmodule assign mult = a * b;
Verilog 2001 endmodule Verilog 1995
• Verilog 2001 has a ANSI-C style syntax for the parameter definition
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 83 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 84
Overriding parameters by position Parametric module example
• A parameter can optionally be redefined on an instance-by-instance basis and • This example presents an 8-bit XOR module that instantiates eight XOR primitives
each module instance can have different parameter values and wires them to the external ports
• To override the values of parameters, you can use the # syntax in a module • The ports are 8-bit scalars; bit-selects are used to connect each primitive
instantiation module_name #(parameter_values) instance_name(port_connection_list);
• A parameterized version of this module is shown
• Parameter re-definition by position
multiplier #(8,8) U00(mult, a, b); • First, we replace the eight XOR gate instantiations with a single assign statement,
making this module more generally useful with the parameter specification
• The main problem with the positional parameter redefinition is that the
parameters must be redefined in the order that they appear in the module • Here we specify two parameters, the width of the module (4) and its delay (10)
definition. For a module with a few parameters, this is error prone
module xorx # (parameter width = 4,
• Also if a module instantiation has to pass only one new value for one of the delay = 10)
(output [l:width] xout,
parameters, all parameter values up to and including all values that are changed, input [1:width] xinl, xin2);
must be listed in the instantiation assign #(delay) xout = xin1 ^ xin2;
endmodule
// illegal parameter passing example
// the module cannot be instantiated with a series
//of commas followed by the new value for one of the parameters
myModule #(,,8) r1 (.q(q), .d(d), .clk(clk), .rst_n(rst_n));

// the first two parameters must be


// explicitly passed even though the
// values did not change
myModule #(1,1,8) r1 (.q(q), .d(d), .clk(clk), .rst_n(rst_n));
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 85 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 86

Parametric module example Overriding parameters by name


• When module xorx is instantiated, the values specified in the parameter • In positional association, the order of the overriding values follows the order of
declaration are used. This is a generic instantiation of the module the parameter specification in the module’s definition
• However, an instantiation of this module may override these parameters • However, the parameters can also be explicitly overridden by naming the
• The “#(4, 0)” specifies that the value of the first parameter (width) is 4 for this parameter at the instantiation site
instantiation, and the value of the second (delay) is 0 • Parameter re-definition by name allows inline parameter values to be listed in any
• If the “#(4, 0)” was omitted, then the values specified in the module definition order
// Generic 2-to-1 MUX using a parameter // 8-bit 2-to-1 MUX using a parameter
would be used instead. That is, we are able to override the parameter values on a module mux2g #(parameter N = 4) module mux2_8bits (input [7:0] a,
(input [N-1:0] a, input [7:0] b,
per module-instance basis input s,
input [N-1:0] b,
output [7:0] y);
input s, mux2g #(.N(8)) M8 (.a(a),
module overriddenParameters(input [3:0] b1,c1,b2,c2,
output reg [N-1:0] y); .b(b),
output [3:0] a1, a2);
always @* .s(s),
xorx #(4, 0) a(a1, b1, c1), .y(y));
b(a2, b2, c2); if(s == 0) y = a;
endmodule
endmodule else y = b;
endmodule
• Or for the previous example we can write:
xorx #(.width(4), .delay(0)
a(a1, b1, c1),
b(a2, b2, c2);
• With the explicit approach, the parameters can be listed in any order
• Those not listed at the instantiation will retain their generic values
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 87 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 88
defparam defparam or using module instance method
• Another approach to overriding the parameters in a module definition is to use • The choice of using the defparam or module instance method of modifying
the defparam statement to re-define parameters values by name parameters is a matter of personal style and modeling needs
• defparam uses hierarchical naming conventions to affect the change • Using the module instance method makes it clear at the instantiation site that
• The parameters may be respecified on an individual basis new values are overriding defaults
• The general form of the defparam statement is: • Using the defparam method allows for grouping the respecifications of
parameters in one place within the description
parameter_override := defparam list_of_param_assignments;
• Indeed, the defparams can be collected in a separate file and compiled with the
• It is illegal to modify parameter values during simulation rest of the simulation model
• defparam overrides the default parameter values at compile (synthesis) time • The system can be changed by compiling with a different defparam file rather
• Also, parameter values can be changed at compile time when a module containing than by reediting the entire description
parameters is instanced • Further, a separate program could generate the defparam file for back
• The defparam statement can be placed before the instance, after the instance or annotation of delays
anywhere else in the file module TestShifter;
...
• In the case of multiple defparams for a defparam U1.NBits = 10;
single parameter, the parameter takes Shifter U1 (...)
// the same as Shifter #(10) U1 (…)
the value of the last defparam statement endmodule
encountered in the source text

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 89 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 90

localparam localparam example


• Local parameters have a similar declaration style except that the localparam module ram1 #(parameter ASIZE=10, DSIZE=8)
keyword is used instead of parameter (inout [DSIZE-1:0] data,
input [ASIZE-1:0] addr,
local_parameter_declaration:= localparam [ signed ] [ range ] list_of_param_assignments; input en, rw_n);
| localparam integer list_of_param_assignments; // Memory depth equals 2**(ASIZE)
| localparam real list_of_param_assignments; localparam MEM_DEPTH = 1<<ASIZE;
| localparam realtime list_of_param_assignments; reg [DSIZE-1:0] mem [0:MEM_DEPTH-1];
assign data = (rw_n && en) ? mem[addr] : {DSIZE{1'bz}};
| localparam time list_of_param_assignments;
always @(addr, data, rw_n, en)
if (~rw_n && en) mem[addr] = data;
endmodule
• Unlike a parameter, a localparam cannot be modified by parameter
redefinition nor can a localparam be redefined by a defparam statement • The memory depth-size MEM_DEPTH is "protected" from incorrect settings by
• Since localparams cannot be directly overridden, they are typically used for placing the MEM_DEPTH in a localparam declaration
defining constants within a module • The MEM_DEPTH parameter will only change if the ASIZE parameter is modified
• However, the localparam can be defined in terms of parameters or defparam
statements
• Thus The idea behind the localparam is to permit generation of some local
parameter values based on other parameters while protecting the
localparams from accidental or incorrect redefinition by an end-user
• Since a local parameter assignment expression can contain a parameter (which
can be overridden), it can be indirectly overridden
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 91 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 92
`define compiler directive Parameters vs. macros
• `define directive defines a macro • A parameter, after it is declared, is referenced using the parameter name,
• A macro is an identifier that represents a string of text however, a `define macro definition, after it is defined, is referenced using the
`define <macroName> <textString>
macro name with a preceding back-tic character
• Parameter declarations can only be made inside of module boundaries,
• macroName will be substituted with textString in the first phase of compilation however, macro definitions can exist either inside or outside of a module
(similar to parameters), at the beginning of synthesis and simulation declaration, and both are treated the same
• This improves the readability and maintainability of the Verilog code • Since macros are defined for all files read after the macro definition, using
• Note that a macro definition does not end with a semicolon macro definitions generally makes compiling order dependent
• A macro can be invoked with the quoted macro name • A typical problem associated with using macro definitions is that another file
• For example, if define BUS as `define BUS reg [31:0], we can use it in the de might also make a macro definition to the same macro name
declaration part as `BUS data; • If the same macro name has been given multiple definitions in a design, only the
• Verilog has the `undef compiler directive to remove a macro definition created last definition will be available
with the `define compiler directive • Macro definitions, like all compiler directives, are active from the point of
`define BUS_WIDTH 16 definition and remain active across all files read after the macro definition is
reg [ `BUS_WIDTH - 1 : 0 ] System_Bus; made until overridden by a subsequent `define, `undef or `resetall

`undef BUS_WIDTH //`undef removes the previously defined directive directive

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 93 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 94

More on macros include compiler directive


• You can place all macro definitions in your top-level module so that they are • Include compiler directive is used to include the contents of a text file at the
globally available to all files compiled in the design point in the current file where the include directive is
• You can place all macro definitions into one “macro.vh" file and read the file first `include “filename”;
when compiling the design (using `include compiler directive) • You can write it anywhere in the code `include “my_macros.vh”
module top
• Only use macro definitions for identifiers that clearly require global definition of ...
an identifier that will not be modified elsewhere in the design endmodule

• Do not use macro definitions to define constants that are local to a module • Since the defines in my_macros.vh are put into a global namespace, it makes
• For example, clock cycles are a fundamental constant of a design sense never to include or redefine those again
`define CYCLE 10
module tb_cycle;
// ...
initial begin
clk = 1'b0;
forever #(`CYCLE/2) clk = ~clk;
end
// ...
endmodule
• A macro can be defined with arguments
• When invoked, the actual argument expressions will be used
`define add(a,b) a + b
f = `add(1,2); // f = 1 + 2;
• Macros with arguments are not supported by all synthesis tools
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 95 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 96
ifdef compiler directive `ifndef
• Verilog-1995 supports conditional `define behavioralModel • Verilog-2001 adds more extensive conditional compilation control, with `ifndef
compilation, using the `ifdef, `else and module Test; and `elsif compiler directives
...
`endif compiler directives `ifdef behavioralModel • The `ifndef/`endif clause prevents redefinition (or inclusion) of the file's
MyDesign_behavioral UUT (...); contents (if this same file was already included earlier)
• `ifdef conditionally compiles Verilog
`else
code, depending on whether or not a MyDesign_RTL UUT (...);
`endif `ifndef _my_macro_vh_
specified macro is defined // If we have not included file before, this symbol _my_macro_vh_ is not defined
endmodule
`define _my_macro_vh_
• If the macro name has been defined using `define, only the first block of Verilog `include “my_macros.vh”
`endif //_my_macro_vh_
code is compiled and if an `else directive is present, the second block only is
compiled
• Therefore, `ifdef can be used to switch between alternative implementations of
a module, or to selectively turn on the writing of diagnostic messages
• These directives may be nested
• Any code that is not compiled must still be valid Verilog code

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 97 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 98

Process model Procedural blocks


• Typically, the dataflow model using continuous assignment statements is used • A procedural block defines a region of code containing sequential statements and
when a combinational function can be described using a few simple assign the statements execute in the order they are written
statements • Two types of procedural blocks in Verilog
• More complex combinational functions are typically easier to describe with a • An always statement is an infinite loop that never terminates
combinational always statement at the behavioral level – When the statement is completed, it returns to the beginning and starts over (if there
• The basic essence of a behavioral model is the process is not sensitivity list)
• We represent the behavior of digital systems as a set of these independent, but • The initial block is similar to the always statement except that it is executed
communicating processes only once at the beginning of the simulation
• A process can be thought of as an independent thread of control, which may be – When it is completed, it does not repeat; rather it becomes inactive
quite simple or very complex – The initial provides a means of initiating input waveforms and initializing simulation
• In the initialization phase, each signal is given its initial value, simulation time is variables before the actual description begins simulation
set to 0, the simulation cycle enters the suspended state and waits for events • Although it is possible to mix the description of behavior between the always and
initial statement, it is more appropriate to describe the behavior of the
• When some events occur on one or more signals, each process that was
suspended waiting on a signal event enters the execution (activate) state, which hardware in the always, and describe initialization for the simulation in the
initial
usually involves scheduling transactions on signals for later times
– The “initial” block is not synthesizable and is commonly used in testbenches for
• At the end of always statement, the process enters the suspended state applying stimuli to the DUT
– always statements model the continuous operation of hardware
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 99 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 100
Compound statements Procedural assignment statements – blocking assignments
• A module can have multiple initial and always statements, but they cannot be • HDL supports two procedural assignment statements that can be used in
nested “always” and “initial” blocks: blocking and nonblocking assignments
• All “always” blocks execute concurrently • The blocking assignment operator is an equal sign "=“ and the nonblocking
– Thus, concurrent/overlapping behavior is modeled assignment operator is “<=“
• An always or an initial block may consist of a single statement or a block • A blocking assignment "blocks" trailing assignments in the same always block
statement from occurring until after the current assignment has been completed
• A block statement begins with begin and ends with end – Hence, the left hand side (LHS) operand of a blocking assignment gets updated before
the next sequential statement in the procedural block is executed
• Statements within a block statement execute sequentially
• Execution of blocking assignments is a one- module fulladder (input a, b, cin,
• Even though the statements in an always or initial block are executed in output reg s, cout);
step process: Evaluate the RHS (right-hand reg p, g;
order, it is possible that statements from other always or initial blocks will be always @ (*) begin
side argument) and update the LHS of the
interleaved with them p = a ^ b; // blocking
blocking assignment without interruption g = a & b; // blocking
– When an always or initial block is waiting to continue (due to @, #, or wait from any other Verilog statement s = p ^ cin; // blocking
statement), other always or initial blocks, gate primitives, and continuous assign cout = g | (p & cin); // blocking
• A group of blocking assignments are evaluated end
statements can execute endmodule
– When using always or initial statements, we should be thinking conceptually of in the order they appear in the code
concurrently active processes that will interact with each other • Assignments made using the blocking assignment (“=”) take effect immediately
and the value written to the left-hand side of the = is available for use in the next
statement
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 101 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 102

Procedural assignment statements – Nonblocking assignments Blocking and non-blocking assignments


• The nonblocking assignment does not block trailing Verilog statements from • It is most efficient to use blocking assignments in always blocks that are written
being evaluated to generate combinational logic
• Evaluation of nonblocking assignments can be viewed as a two-step process: • It is most efficient to use nonblocking assignments in always blocks that are
– 1. Evaluate the right-hand side (RHS) argument of all nonblocking statements written to generate sequential and latching logic
concurrently at the beginning of the current simulation cycle (time step) • Ignoring the above guidelines can still infer the correct synthesized logic, but the
– 2. Update the LHS of nonblocking statements at the end of the current time step pre-synthesis simulation might not match the behavior of the synthesized circuit
• Nonblocking assignments will be executed in the order that are written in an • Note that continuous signal assignment statements using the assign keyword
always block are used outside always statements
• When assigning multiple values to same variable using nonblocking assignments, • Comparing to concurrent signal assignment statements, concurrent assignment
the last nonblocking assignment wins! statements change the value of the target net whenever the right-hand-side
initial begin operands change value
• In this example, when this block is executed, a <= 0;
there will be two events added to the signal a <= 1; • However, a procedural assignment changes the target reg only when the
end
driver’s queue at time step zero. At the end of The order of nonblocking assignment assignment is executed according to the sequence of operations
time-step 0, the variable “a” will be assigned 0 statements is important only when • assign y <= a + b; has a syntax error
and then 1 assigning to the same signal
• assign out = a <= b; is a correct statement

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 103 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 104
Events and event control Always statement
• An event is a change in a variable and the change may be a positive edge, a • The always block is triggered to execute by the level or the edge (transition) of
negative edge, or a level change one or more signals
• The event control can be described using the name of signals (representing level always @ (posedge variable or negedge variable) statement;
always @ (variable, variable, . . .) statement;
change) or described using the edge specifiers: posedge or negedge of a signal • Example:
• An event control (@posedge or @negedge) before a statement causes the always @(a or b) // level-triggered; if a or b changes levels
execution of the immediately following statement to be delayed (similar to timing always @(posedge CLK) // edge-triggered: on positive edge of CLK

control using #)
• The always @ (<signal>) or @* or @(*) synthesizes to a combinational logic or to
@(CLK) Q = D; // assignment will be performed whenever a sequential logic
signal CLK changes to its value
• The always @ (<pos|neg>edge <signal>) synthesizes to a sequential logic
@(posedge CLK) Q = D; // assignment will be performed whenever
signal CLK has a rising edge (0o
o1, 0oX,
0oZ, Xo1, Zo1)
@(negedge CLK) Q = D; // assignment will be performed whenever
signal CLK has a falling edge (1o0, 1oX,
1oZ, Xo0, Zo0)

• Note that the event control expression may take on unknown values

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 105 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 106

Procedural statements: if statement else association


• Conditional statements are used in a • An else is associated with the immediately preceding if, unless an appropriate
if (expression) begin
sequential behavior description to alter the ... Procedural Statements ... begin-end is present
flow of control end
else if (expression) begin
• In this example, the begin-end block in the first if statement causes the else
• The if statement and its variations are ... Procedural Statements ... to be paired with the first if rather than the second
common examples of conditional statements end
– When in doubt about where the else will be attached, use begin-end pairs to make
...more else if blocks ...
• An if-then-else statement is used to else begin it clear
... Procedural Statements ...
conditionally execute sequential statements end
based on the value a Boolean expression if (expressionA) if (expressionA) begin
if (expressionB) if (expressionB)
• Statements associated with the true condition are then executed and the rest of a = a + b; a = a + b;
the statement is ignored else end
q = r + s; else
• If more than one statement is required to be executed in either the if or the q = r + s;
else branch, the statements must be enclosed in a begin-end block
– If there is one statement in a block, then the begin ... end statements
may be omitted
• Both the else if and else statements are optional
• There can be as many else if statements as required, but only one if block and
one else block
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 107 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 108
Example: if statement Some notes
module mux_2x1(input a, b, sel, • Note that comparison with an unknown (x) or high impedance (z) may produce a
output reg out);
always @* begin
result that is either unknown or high impedance; these are interpreted as FALSE
Assignments within if statements
if (sel == 1) //if (sel) generally synthesize to multiplexers – Thus the expression is considered to be true if it is non-zero, and false if it is zero, X or
out = a; Z
else out = b;
end • If the conditional expression evaluates to false, then the statements in the else
endmodule
block, if present, are executed
module mux4x1_1bit(output reg out, • Note that the conditional operator may appear in an expression that is either part
input [3:0] in,
input [1:0] sel);
of a procedural assignment in the behavioral modeling or in continuous
module mux4x1_4bits always @* assignment statements in the dataflow level modeling
(input [3:0] a, b, c, d, if (sel == 0) out = in[0];
input [1:0] sel, else if (sel == 1) out = in[1];
output reg [3:0] y); else if (sel == 2) out = in[2];
always @* else out = in[3];
if (sel == 0) y = a; endmodule
else if (sel == 1) y = b;
else if (sel == 2) y = c; a[3:0]
else if (sel == 3) y = d; b[3:0] y[3:0]
else y = 4'bx; --sel can be X or Z c[3:0]
d[3:0]
endmodule
sel[1:0]

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 109 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 110

4-to-2 binary encoder Binary encoder


• Beware of unintended priority logic when using if statements • If mutually-exclusive conditions are chosen for each branch, then the synthesis
• A set of nested if-else statements can be used to give priority to the conditions tool can generate a simpler circuit that evaluates the branches in parallel
• Will this code be synthesized to a 4-to-2 priority encoder? module binary_encoder (input [3:0] i,
module binary_encoder (input [3:0] i, output reg [1:0] e);
• Each condition of the if- output reg [1:0] e); always @* begin
then-else statement is always @* begin if (i==4’b0001) e=2’b00;
if (i[0]) e=2’b00; else if (i==4’b0010) e=2’b01;
checked in order against that else if (i ==4’b0100) e=2’b10;
Assuming only one
else if (i[1]) e=2’b01; of the bits of i is 1,
value until a true condition is else if (i[2]) e=2’b10; else if (i ==4’b1000) e=2’b11;
else if (i[3]) e=2’b11; else e=2’bxx; these are mutually-
found end
else e=2’bxx; exclusive conditions
• If i[0] is 1, the result is 00 end endmodule

regardless of the other inputs. endmodule

So i[0] takes the highest


priority
Minimized result

• Priority encoded muxes can impact timing due to their cascading structural nature
– A priority mux can also be used to speed up a design by placing the late arriving
operand and its condition at the top of the if statement – that way it travels through
the least number of levels of logic
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 111 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 112
Rules for synthesizing combinational circuits Example: Demultiplexer
• Within an always statement, we define a control path to be a sequence of module demux (input D, select,
output y0,y1);
operations performed when executing an always loop assign y0 = (~select) & D;
assign y1 = select & D;
• There may be many different control paths in an always block due to the fact that endmodule

conditional statements (e.g. if) may be used


• (1) To produce a combinational circuit using procedural statements, the output of
module demux (input D, select,
the combinational function must be assigned in each and every one of the output reg y0,y1);
different control paths always @( D or select ) begin
if( select == 1’b0) begin
– Thus, for every input change, the combinational output will be calculated y0 = D;
y1 = 1’b0;
• Thus in a combinational circuit behavioral description, a LHS variable must be end Note that a latch will be inferred if a variable is not
else begin
assigned a value at least once in every execution of the always loop assigned to for all the possible branch conditions
y0 = 1’b0;
y1 = D;
• (2) Make sure that all inputs to your combinational function are listed in the end
end
control event’s sensitivity list (the comma-separated list of names) endmodule
– Therefore, if one of them changes, the output is re-evaluated
– The need for this requirement stems from the definition of a purely combinational module demux (input D, select,
output y0,y1)
circuit wire N;
and g1(y0, D, N);
– The output of a combinational circuit is a function of the current inputs; if one and g2(y1, D, Select);
changes, the output should be re-evaluated not g0(N, Select);
endmodule

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 113 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication
ation may be reprod
reproduced,
duced distributed
distributed, or transmitted. 114

Latch inferrence D-Latch


• If the always block is executed and no value is assigned to the output, the circuit • Latches are level-sensitive storage devices
needs to remember the previous value • Recall that a D latch is transparent when the clock is high, allowing data to flow
– Thus, the output is a function of the current inputs and the previous output from input to output. The latch becomes opaque when the clock is low, retaining
– This is a fundamental characteristic of a sequential circuit, not a combinational one its old state
– A synthesized version of such a circuit will have storage elements to implement the
module dLatch (input CLK, D,
sequential nature of the description output reg Q);
• Therefore, if there exists a control path that does not assign to the output, then always @(D or CLK) // or always @*
the previous output value needs to be remembered if (CLK)
– This is not a characteristic of combinational hardware. Rather it is indicative of a Q = D;
sequential system where the previous state is remembered in a latch when the inputs endmodule
specify this control path
• This code infers a latch, because the output, Q, is not assigned under all possible
– This causes latch inference conditions
• Assuming that we are trying to describe a sequential element, leaving the output • To prevent syntheiszer from inferring unintentional latches for these examples,
variable unassigned in at least one control path will cause a latch to be inferred you should make a default assignment to Q outside the if statement or add an
else branch to the if statement

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 115 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 116
Inferring a latch D-latch with other control signals
• Thus to infer a latch, two situations must exist in the always statement: at least • Note that set and/or reset inputs may change the flip flop state either
one control path must exist that does not assign to an output, and the sensitivity synchronously or asynchronously with respect to the clock
list must not contain any edge-sensitive specifications • The tests for the set and reset conditions are done first in the always statement
• The first gives rise to the fact that the previous output value needs to be using if constructs
remembered • After all of the set and resets are specified, the final statement specifies the action
• The second leads to the use of level-sensitive latches as opposed to edge-sensitive that occurs on the latch is transparent
flip flops module dlatchwReset (input RST, CLK, D,
output reg Q);
• A logic synthesis tool will recognize this situation and infer that a latch is needed always @ (RST or CLK or D)
in the circuit if (~RST)
Q = 1'b0;
else if (CLK)
Q = D;
endmodule
D-latch with asynchronous reset
module latchwPreset (input CLK, PRE,
input [3:0] D,
output reg [3:0] Q);
always @(CLK or D or PRE) begin
if (PRE) Q = 4'b1111;
else if (~CLK) Q = D;
end
//4-bit latch with active-low clock and asynchronous preset
endmodule
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 117 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 118

Compound latches Some important points


• The synthesized latch does not need to be a simple D-latch; other functionality • The values computed can be held in a ‘wire’, a ‘flip-flop’ (edge-triggered storage
can be included cell) or a ‘latch’ (level-sensitive storage cell)
• A variable in Verilog can be of
module dlatch (input CLK, GATE, D,
output reg Q);
– ‘net data type: Maps to a ‘wire’ during synthesis
– ‘register’ data type: Maps either to a ‘wire’ or to a ‘storage cell’ depending on the
always @ (CLK or D or GATE) context under which a value is assigned
if (CLK & GATE) D-latch with gated enable
Q = D; • Synthesis tools usually infer latches and flip-flops from always blocks, but not
endmodule
from continuous assignments
• Incompletely specified if statements cause synthesis tool to infer latches
• Here an adder/subtractor capable of adding and subtracting is synthesized with an
output latch
module dlatch (input CLK, GATE, D, module addSub #(parameter Width = 4)
output reg Q); (output reg [Width-l:0] out
input [Width-l:0] a, b,
always @(CLK or D or GATE)
input EN, addsub);
//the same as always@(CLK, D, GATE)
if (CLK) Q = (D & GATE); D-Latch with gated data always @(*)
endmodule if (EN) begin
if (addsub) out= a+b;
else out = a-b;
end
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 119 These notes are copyrighted and areendmodule
strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 120
Some important points Flip-flops
• For combinational logic and latches, the sensitivity list must be the input set and • Flip flops are edge-triggered storage devices
contain no edge-sensitive specifiers – Their behavior is controlled by a positive or negative edge that occurs on a special
• Be aware of incomplete sensitivity lists: Synthesis tool may issue warnings for input, called the clock
signals that are read in an always block but are not listed in the sensitivity list • The main characteristic of a flip flop description is that the event expression in the
• In this example, the signal EN is read, but it is not in the always statement specifies an edge
always @(D or RST)
sensitivity list if (RST) • Edge-triggers are specified by posedge and negedge keywords
Q = 1’b0 • When the edge event occurs, the input data is passed to the output
• Assuming that RST is stable at 0, a change in EN from 0 to 1 else if (EN)
does not trigger the always block, so the value of D does not Q = D; module dff (input D, CLK,
output reg Q);
get latched onto Q
always @(posedge CLK)
Q <= D;
endmodule

• @(posedge CLK) Q <= D; This procedural event control statement watches for
the positive transition of CLK and then assigns the value of D to Q
• The value assigned to Q is the value of D just before the positive edge of the clock
• Since we are describing a D flip-flop, a change on D will not change the flip flop
state
– So the D input is not included in the sensitivity list
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 121 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 122

D flip-flops with reset and preset Examples


• Typically flip flops include reset signals to initialize their state at system start-up
module dff_sync_pre (input D, CLK, SET,
• If a negative edge was specified, then the test should be: output reg Q);
if (~reset) … or if (reset == 1'b0) …
always @(posedge CLK)
• If a positive edge was specified, then the test should be: if (!SET) Q <= 1'b1;
flip-flop with synchronous preset
else Q <= D;
if (set)… or if (set == l'b1) … endmodule

module dff_sync_rst (input D, CLK, RST,


module dff_sync_rst (input D, CLK, RST,
output reg Q);
output reg Q);
always @(posedge CLK) always @(posedge CLK)
if (~RST) Q <= 1'b0; if (!RST) Q <= 1'b0;
else Q <= D; dff with synchronous reset else Q <= D; flip-flop with synchronous reset
endmodule endmodule

• Note that the sensitivity list of the always block includes only the edges for the module dff_sync_pre (input D, CLK, SET,
output reg Q);
clock, reset and preset conditions always @ (posedge CLK)
if (!SET) Q <= 1'b1; //active-low preset
• These are the only inputs that can cause a state change else Q <= D;
endmodule
flip-flop with synchronous preset
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 123 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 124
D flip-flops with asynchronous reset and preset Some important points
• The expressions for set and reset cannot be indexed; they must be one-bit
module dff_async_nrst (input D, CLK, RST, EN, variables
output reg q);
always @ (posedge CLK or negedge RST)
• Make sure that your HDL does not imply any unintended latches
if (!RST) Q <= 0; • Many synthesis tools warn you if a latch is created; if you didn’t expect one, debug
else if (EN) Q <= D;
endmodule
your design
• For posedge and negedge, only the least significant bit of the expression is tested
flip-flop with asynchronous reset and clock enable
• For synthesis, one cannot combine level and edge changes in the same list
• Single flipflop modules will work with blocking assignments – Bad habit - better to
consistently code sequential logic with nonblocking assignments
• always @(CLK or D or GATE) is the same as always@(CLK, D, GATE)
module dff_async_nrst (input CLK, RST, SET, D,
output reg Q);
always @ (posedge CLK or negedge RST or posedge SET)
if (!RST) Q <= 0;
else if (SET) Q <= 1;
else Q <= D; flip-flop with asynchronous reset and preset
endmodule

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 125 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 126

Synchronous or asynchronous? Synchronous testbench


• If you use asynchronous reset, the main issue is not when the asynchronous reset • Synchronous testbenches are used for cycle based simulations of synchronous
goes active (since the circuit is going to reset anyway), but rather when it goes logic, which do not use any delays smaller than a clock cycle
inactive • Every clock cycle, a test vector is applied to the DUT
• As soon as the asynchronous reset goes inactive, the flip-flop is free to change its • How to generate a repetitive clock signal CLK?
state when the next clock edge occurs
wire CLK;
• If the reset signal is distributed throughout your design without care to how long assign #10 CLK = ~ CLK;
the delay is on the reset network, you can have parts of the chip working in active
mode while other parts of the chip are still in reset mode • Why this does not work?
• You have to treat the asynchronous reset signal similar to a clock signal and – This is because the initial value of CLK (wire data type) is z (~z = x
and ~x = x)
balance it so that the signal goes inactive within the same clock cycle throughout
the entire chip – CLK has to be defined as type reg in order to be used in an initial
statement. CLK of data type wire, cannot be used in an initial
statement
reg clk =0;
always begin clk
#10 clk = 1;
#10 clk = 0; CLK signal with 20ns clock cycle
end
• This code enters an always loop, where it initializes the clock to 1 at time 10
and thereafter toggles its value every 10 time units
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 127 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 128
forever statement disable system task
• The forever statement loops forever • Generally, a loop statement is written to execute to a “normal” exit; the for loop
reg CLK, RST, EN; counter is exhausted or the while expression is no longer TRUE
reg [7:0] data;
parameter HalfPeriod = 5; // parameter defines a local constant value
• However, any of the loop statements may be exited through use of the disable
statement
initial begin : ClockGenerator
CLK = 0; • disable system task terminates any named blocks (using begin and end), tasks,
forever // execute one or more statements indefinitely modules, or any loop statements and passes control to the next statement
#(HalfPeriod) CLK = ~CLK;
end
following the block
disable block_name;
initial begin
EN = 1’b0; RST = 1’b1; // activating the reset RST signal • A disable task can only be used with named begin-end blocks
#(2*HalfPeriod) RST = 1’b0; EN = 1’b1; • begin-end blocks can be named by placing the name of the block after a colon
//releasing reset after 10 time units
#(2*HalfPeriod) data = 8'haa following the begin keyword
#(2*HalfPeriod)
disable ClockGenerator; //disable can be called in another
begin
//initial statement i = 0;
end forever begin : continue_block
if (i==a) disable continue_block;
• A forever loop can include a disable statement to disable itself
#1 i = i + 1;
• Note that disable task is similar to the C break statement except it can terminate end
any loop, not just the one in which it appears end

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 129 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 130

Clock generation Clock generation using forever statement


• Symmetric clock with delayed startup • Asymmetric clock with delayed startup reg clk;
initial begin
//clock generation with variable start time
• This code initializes the clock to 1 at time 20 and #20 clk = 1;
reg clk;
forever begin
initial begin thereafter toggles its value in a forever loop with a 5/15 #5 clk = 0;
#20 clk = 1;
#15 clk = 1;
forever begin duty cycle
forever [begin] end
#10 clk = 0;
... Procedural statements ... end
#10 clk = 1;
end [end]
end

• The forever statement causes one or more statements to be executed in an


indefinite loop initial begin
forever
// Declare a constant clock period
CLK = #(ClockPeriod / 2) ~ CLK;
parameter ClockPeriod = 10; end
reg CLK;
initial CLK = 0; // initialize the Clock signal localparam T=10; // clock period
//reset for the first half cycle • The forever statement is not generally synthesizable
always #(ClockPeriod / 2) CLK = ~CLK;
initial begin
reset = 1 'bl; • To avoid combinational feedback during synthesis, a forever loop must be
#(T/2); controlled with an @(posedge/negedge clock) statement
reset = l'bO;
• Not including any delay control or event control end
always begin forever begin
in an always
may cause infinite loop in the clk = l'bl; @(posedge clk);
#(T/2) ; a = a + 1;
simulator clk = l'bO; end
#(T/2) ;
end
These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 131 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 132
for statement for statement
• Iterative sequential behavior is described with looping statements: repeat, for, • The loop counter is updated after every execution of the body of the loop and
while, and forever before the next check for the end of the loop
• For loops are used to repeatedly execute a statement or block of statements • Note that step size step need not be one
for (index = init; index </<=/>/>= limit; index = index +/- step) • The index must either start with a low limit and step up to a high limit, or start
[begin]
... Procedural statements ... with a high limit and step down to a low limit
[end]
• If the loop contains only one statement, the begin ... end statements may be
• The for loop is highly structured and very similar in function to for loops in the C omitted
programming language • It is not possible to exit the loop execution by changing the loop count variable
• The first assignment, which is the initialization of an index (counter) variable, is • The disable task allows for early loop exits (will be discussed)
executed once at the beginning of the loop
• The second expression is executed before the body of the loop to determine if we
are to stay in the loop. Execution stays in the loop while the expression is TRUE
• The comparison for end of loop may be <, >, <=, or >=
• The step variable and the value of the loop count expression (limit) are
determined once at the beginning of the execution of the loop
• Then the loop is executed the given number of times

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 133 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 134

For statement example Applying stimuli from loops


• A large N:2N decoder is cumbersome to specify with case statements, but easy module loop_tb;
wire [7:0] response;
using parameterized code that simply sets the appropriate output bit to 1 reg [7:0] stimulus;
reg clk;
• Specifically, the decoder sets all the bits to 0, and then changes the appropriate integer i;

bit to 1 DUT u1 (response, stimulus, clk);

module decoder #(parameter N = 3) initial clk = 0;


(input [N-1:0] a, always begin
output reg [2**N-1:0] y); #10 clk = 1;
always @* begin #10 clk = 0;
y = 0; end
y[a] = 1;
end initial begin
endmodule for (i = 0; i <= 255; i = i + 1)
@(posedge clk) stimulus = i;
#20 $finish; //specifies the end of simulation
module decoder_index #(parameter N = 8, module decoder38_loop end
log2N = 3) #(parameter N = 8,
(input [log2N-1:0] in1, log2N = 3) endmodule
output reg [N-1:0] out1); (input [log2N-1:0] in1,
always @(in1) begin output reg [N-1:0] out1);
out1 = 0; integer i;
• Using a for loop, the testbench is more compact
out1[in1] = 1’b1; always @(in1) begin
end for(i=0;i<N;i=i+1)
• For each iteration a new stimulus vector is applied after a time delay
endmodule out1[i] = (in1 == i);
end
Decoder using indexing endmodule

Decoder using for loop


These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 135 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 136
Describing combinational logic using for loops Examples
• The for loop in Verilog may be used for repetitive specification of a
module parity #(parameter WIDTH = 2)
combinational logic (input [WIDTH-1 : 0] in,
• Synthesis tool unrolls the for loops and implement repeated hardware structures, module paritygen (InP, par); output reg p);
`define input_width 8 always @(in) begin: loop
provided the loop bounds are fixed input [`input_width - 1:0] InP; integer i;
output reg par;
reg parity = 0;
integer J;
for (init_assignment; cond; step_assignment) for (i = 0; i < WIDTH; i = i + 1)
always @(InP) begin
procedural_statements; par = 0; parity = parity ^ in[i];
for ( J=0; J < `input_width; J=J+1) p = parity;
par = par ^ InP[J]; end
module Xor8 (output reg [1:8] xout,
input [1:8] xin1, xin2); end endmodule
reg [1:8] i; endmodule …
always @* reg [3:0] word;
for (i = 1; i <= 8; i = i + 1) wire parity;
xout[i] = xin1[i] ^ xin2[i]; parity #(.WIDTH(4)) ecc (.in(word),.p(parity));
endmodule

• In this example, each iteration of the loop specifies a different logic element
indexed by the loop variable i • A simple approach: assign p = ^in;
• Thus, eight xor gates are connected between the inputs and the outputs • The above are more general approaches
• Since this is a specification of combinational logic, i does not appear as a register
in the final implementation

These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 137 These notes are copyrighted and are strictly for 2017 courses at SDSU. No part of this publication may be reproduced, distributed, or transmitted. 138

You might also like