High Na Euv
High Na Euv
Eelco van Setten, Gerardo Bottiglieri, John McNamara, Jan van Schoot, Kars Troost, Joseph Zekry,
Timon Fliervoet
ASML Netherlands B.V.
Stephen Hsu
ASML Brion
Moore’s law drives the doubling of the number of transistors per unit area every 2-3 years. To enable cost-effective
shrink of future devices, a new High-NA EUV platform is being developed in a joint collaboration between ASML
and Carl Zeiss SMT. The High-NA EUV scanner employs a novel Projection Optics Box (POB) design concept with
a numerical aperture of 0.55 that enables 8nm half pitch resolution and a high throughput.
The novel POB design concept tackles the limitations in angular acceptance of the EUV multilayer (ML) masks at
increased NA, however also has implications on the system design and usage of the tool.
The introduction of a central obscuration in the POB reduces the angular load on the ML mirrors inside the POB,
enabling a high transmission and therefore high throughput. The obscuration size has been chosen for minimal
impact on imaging performance.
Furthermore, the High-NA scanner will be equipped with a highly flexible illuminator, similar to ASML’s NXE:3400
illuminator, that supports loss-less illumination shapes down to 20% pupil fill ratio (PFR).
In this paper, we will show that High-NA EUV delivers increased resolution and contrast, thereby supporting EPE
requirements of future nodes. We will show that the obscuration can benefit the imaging performance of via- and
cutmask-layers by blocking the zeroth order light from the pupil, enhancing image contrast. Further contrast
enhancement is possible by introducing alternative absorber stacks.
From a historical perspective, the increase in NA from 0.33 to 0.55 is, in absolute figures, comparable to the step
from ArF dry to hyper-NA immersion (from NA = 0.93 to 1.20), but not in relative figures (+67%), see also Figure
1. The High-NA EUV platform is therefore well positioned to support continuous shrink for the next decade.
In addition to the increased resolution capability, the High-NA EUV scanner will also offer greatly enhanced contrast
at resolutions where 0.33NA runs “out of steam”. This is particularly beneficial for via- and cutmask-layers in which
the resolution limit is effectively determined by stochastic effects leading to missing or merging holes5, as illustrated
in Figure 2 for a regular dense contact hole pattern. At the resolution where the contrast of 0.33NA system drops
below a Normalized Image Log Slope (NILS) of 2, the 0.55NA system will have NILS = 5. As local CDU (LCDU)
Primary contact: [email protected]
Extreme Ultraviolet (EUV) Lithography X, edited by Kenneth A. Goldberg, Proc. of SPIE Vol. 10957,
1095709 · © 2019 SPIE · CCC code: 0277-786X/19/$18 · doi: 10.1117/12.2514952
the corresponding LCDU is expected to drop by more than two times at the same exposure dose. The actual NILS
required for a yielding process (including photoresist performance) will determine the cut-off resolution for 0.33NA
and the shrink potential going to 0.55NA.
a) b)
Figure 2 a) NILS for 0.55NA and 0.33NA as function of half pitch for dense regular holes. b) Measured contact hole
LCDU as function of 1/NILS for a chemically amplified resist (CAR) at 21mJ/cm2. An increase in contrast from NILS =
2 for 0.33NA to NILS = 5 for 0.55NA will result in a reduction of LCDU from ~4.5nm to ~2nm (at the same exposure
dose).
The increase in NA comes with many challenges in the scanner and optics design8,9 that are being addressed in close
co-operation with ASML’s optics design partner Carl Zeiss SMT GmbH. A novel POB design concept has been
developed to tackle the limitations in angular acceptance of the EUV multilayer (ML) mirrors at increased NA
(Figure 3). This includes the introduction of a central obscuration in the POB that reduces the angular load on the
ML mirrors. The smaller angular spread in combination with the new POB design enables a transmission that is
higher than the 0.33NA systems, which is also reflected in increased productivity.
In this paper, we will focus on the implications of the High-NA EUV system design for imaging, in particular the
role of the central obscuration in the image formation of contact holes. We will also show the potential performance
improvements that can be obtained by mask stack optimization.
Figure 3 Schematic representation of the novel 0.55NA POB design compared to the current 0.33NA POB design. The
High-NA POB contains a central obscuration in the POB and pupil plane. The most right image depicts a situation in
which parts of the 1st orders are blocked by the obscuration, impacting image formation.
i
The minimum loss-less PFR will depend on the actual (freeform) illumination shape and might be slightly larger than 20%
However, the obscuration can also be used as pupil filter to enhance imaging performance13,14. This is demonstrated
on a through-pitch via pattern with 14nm target CD, which includes triplet and duplet patterns (see Figure 5a) that
are rotated and mirrored to yield 8 possible configurations per pattern. Applying Tachyon™ SMO on the 22 resulting
clips gives an optimized illumination source that resembles a small conventional setting where the central part will
be blocked by the obscuration. It should be noted that the High-NA illuminator will be capable of generating
illumination sources with light falling onto the obscured pupil area, as illustrated in Figure 5b by the rendered pupil.
a) b)
Figure 5 a) Via pattern through-pitch with 14nm target CD, including 8 possible configurations of triplet and duplet
patterns. Tachyon™ SMO was performed on the 22 clips resulting in a ‘small conventional-like’ illumination setting with
light in the obscured pupil area. b) The pupil rendered on the High-NA illuminator. Part of the zeroth order being blocked
by the obscuration in the POB is indicated by the dashed circle.
To quantify the benefit of using the center part of the pupil blocked by the obscuration, an additional SMO with 20%
PFR was performed in which the center part was avoided on purpose. The resulting overlapping Process Window
(PW) for both illumination sources is shown in Figure 6. The maximum overlapping Exposure Latitude (oEL) and
Depth of Focus (oDOF) increases by ~ 10% when the source with center part blocked by the obscuration is used.
At the scanner focus control limit of 35nm the overlapping EL increases by more than 60% (10% vs 6% oEL). The
improvement in contrast is observed not only for a few critical features, but for each evaluated cutline, as shown in
Figure 6b. Therefore, it can be concluded that the overall performance of the via patterns in the evaluated pitch range
is improved by making use of the obscuration as pupil filter. Note, for process window calculations we have assumed
as resist model a diffused image with 3.5nm 1σ blur and have excluded scanner specific contributors.
The benefit of having part of the 0th order light blocked by the obscuration can be understood by looking at the sub-
images of the SMO source decomposed in parts that are blocked and not blocked by the obscuration. Since the 14nm
via pattern at 28nm pitch is found to be limiting in contrast, a more thorough analysis has been carried out for this
pitch. In Figure 7 the diffraction patterns and corresponding aerial images of the 14nm via pattern at 28nm pitch is
given for the full SMO source and its decomposition in the small conventional source fully blocked by the
obscuration and the remaining part that avoids the obscuration. The resulting diffraction patterns clearly show the
0th order and four 1st orders captured by the NA. Since the 0th order of the small conventional source is completely
blocked by the obscuration, the image formation will be done by the 1st orders only, leading to frequency doubling
of the contact hole arrays, as seen in alternating phase shift masks15. Note the aerial image consisting of a dense
regular hole pattern at 20nm pitch with a high NILS of ~4.4. However, the intensity and threshold-to-sizeii are very
low, indicating that the sub-image will contribute little to the final image. Since the intensity peaks coincide with the
intensity peaks of the sub-image that is not blocked by the obscuration, they add up constructively, leading to an
increased NILS of the final image. It should be noted that the sidelobes coming from the frequency doubled sub-
image are well below the threshold level of the final image and do not print within the usable process window.
Figure 7 Diffraction patterns and corresponding aerial images of the 14nm via pattern at 28nm pitch for the full SMO
source, the part that avoids the obscuration and the part that is entirely blocked by the obscuration. The full SMO source
shows higher NILS and threshold-to-size than the part that avoids the obscuration, even if this last source has a lower PFR.
The source that is entirely blocked by the obscuration shows ‘frequency doubling’ and has a very high NILS but low
threshold-to-size.
ii
The threshold level is determined by normalization at source level, taking the normalization energy of the full SMO source as reference
a) b)
c)
Figure 8 Comparison of the full SMO source and its decomposition in the non-obscured and obscured sources. a) CD
through focus at threshold-to-size. b) Pattern shift through focus. c) EL versus DoF for the full and non-obscured SMO
sources. The EL at the scanner focus control limit of 35nm is indicated as well.
The obvious downside of having part of the illumination setting blocked by the obscuration is loss of light, which
needs to be compensated by increasing the exposure dose, thus reducing productivity. However, as shown in Figure
7, the threshold-to-size with the full SMO source is by ~17% higher than the subcomponent that avoids the
obscuration, indicating that the image formation is ‘more efficient’ for the full SMO source. Note that the required
exposure dose on the scanner can be estimated by:
𝐸0
Equation 2 𝐷𝑜𝑠𝑒 ≈ where E0 is the measured resist dose-to-clear, thr2s is the simulated
𝑡ℎ𝑟2𝑠 threshold-to-size
If we consider that ~20% of the full SMO source is blocked (PFR of the obscuration is ~ 4%, PFR of the full SMO
source is 20%), then the net required exposure dose on the scanner will be 2.5% higher. This results in a worst-case
throughput penalty of ~1.5%. At the same time, NILS is expected to improve by ~10%, leading to an estimated
LCDU improvement of ~ 9% according to Equation 1 (Figure 9). Alternatively, the improved LCDU could be
exchanged for a reduction in the exposure dose of ~ 17% by choosing a faster resist and thus a throughput gain up
to ~12%.
The use of the obscuration as pupil filter to enhance image contrast becomes less effective when the k1-value
approaches 0.5 and the 1st diffraction orders of the center pole move out of the NA. In co-operation with Erdmann
et al (Fraunhofer IISB) a regular contact hole array through pitch is studied with a minimum pitch of 22nnm (k1 =
0.45), see Figure 10. First, the illumination setting is optimized for the tightest pitch, resulting in a Quasar
illumination source with a NILS of 2.3. For larger half pitches, the NILS is found to be flat up to ~ 20nm half pitch,
despite the increase in CD. Second, by adding a center pole to the Quasar setting, creating a Soft-Quasar illumination
source, the NILS remains almost unchanged for the smallest pitches, while it increases almost monotonically for half
pitches above 14nm. Thus, the center pole is enhancing the image contrast for the more relaxed pitches, while it
hardly degrades the image contrast of the smallest pitches. This can be explained by the fact that the 0th order of the
center pole is blocked and does not add background light without interference partner, as it would without
obscuration. Therefore, the imaging performance through pitch can be improved by adding a center pole to the
illumination source optimized for the tightest pitch in the design.
a) b)
Figure 10 a) NILS as function of half pitch for a regular contact array using a Quasar and Soft-Quasar illumination source.
Data courtesy: Andreas Erdmann et al, Fraunhofer IISB. b) Diffraction pattern of the contact array at 11nm and 14nm half
pitch with Soft-Quasar source before and after obscuration.
Figure 11 Contrast (NILS) gain for the 14nm half pitch via pattern when replacing the 55nm Ta-based absorber by a 40nm
high-k absorber. The NILS of the Ta-based absorber calculated with the source subcomponent that avoids the obscuration
serves as reference for the comparison (NILS = 2.63, see also Figure 7).
The improved performance with a high-k absorber allows scaling down to smaller pitches, as demonstrated in Figure
12 below. When scaling down the via pattern to 24nm pitch, the standard Ta-stack gives insufficient NILS (<2) over
the focus control limit of the scanner, despite the use of the obscuration as pupil filter. When using a high-k mask
stack with optimized source, the NILS becomes >2 over 35nm focus range down to 24nm pitch. It should be noted
that the optimized illumination source changed from a ‘conventional-like’ source to a ‘c-quad-like’ source with
center pole to improve contrast for the densest pitch, and that there is a distinct difference between the SMO source
for the Ta-based and high-k absorber.
Figure 12 Improvement of contrast-NILS based DoF down to 24nm pitch with a high-k absorber and optimized Tachyon™
SMO source.
Acknowledgements
The authors would like to thank Andreas Erdmann and Peter Evanschitzky for the fruitful discussions and support
of this work by providing various simulation datasets and plots. We are also grateful to Joern Holger Franke from
IMEC for sharing his insights of the image formation in the presence of obscuration. Furthermore, we would like to
thank the ASML and ZEISS teams that contributed to this work, and in particular Claire van Lare from ASML
Research for valuable discussions on understanding the image formation with alternative mask stacks, Sangye
Lungten, Laurens de Winter and Natalia Davydova from the ASML High NA EUV imaging team, Jack Liddle and
Michael Mundt from the ZEISS EUV imaging group.
This work was funded by the European Commission and the Federal Ministry of Education and Research (Germany)
by the ECSEL JU Grant Agreement SeNaTe (662338, FKz 16ESE0036K), TAKE5 (692522, FKz 16ESE0072K),
TakeMi5 (737479), and TAPES3 (783247, FKz 16ESE0287K).
1
M. van de Kerkhof et al, “Enabling sub-10nm node lithography: presenting the NXE:3400B EUV scanner”, Proc. SPIE
10143-9, (2017)
2
J. van Schoot et al, “EUV lithography scanner for sub 8 nm resolution,” Proc. SPIE 9422-1F, (2015)
3
A. Pirati et al, “The future of EUV lithography: enabling Moore’s law in the next decade”, Proc. SPIE 10143-12, (2017)
4
Jan van Schoot et al, "High-numerical aperture extreme ultraviolet scanner for 8-nm lithography and beyond", J.
Micro/Nanolith. MEMS MOEMS 16(4), (2017)
5
P. de Bisschop, “Stochastic printing failures in extreme ultraviolet lithography”, J. Micro/Nanolith. MEMS MOEMS.
17(4), (2018)
6
J. Finders et al, “Contrast optimization for 0.33NA EUV Lithography”, Proc. SPIE 9776 (2016)
7
O. Yildirim et al, “Improvements in resist performance towards EUV HVM”, Proc. SPIE 10143 (2017)
8
J. van Schoot et al, “EUVL lithography scanner for sub 9nm resolution”, International Symposium on Extreme
Ultraviolet Lithography, Washington D.C. (2014)
9
S. Migura et al, “EUV lithography optics for sub 9nm resolution”, International Symposium on Extreme Ultraviolet
Lithography, Washington D.C. (2014)
10
B. Kneer et al, “EUV lithography optics for sub-9nm resolution”, Proc. SPIE 9422, (2015)
11
E. van Setten et al, “Edge placement error control and Mask3D effects in High-NA anamorphic EUV lithography”, Proc.
SPIE. 10450 (2017)
12
A. Erdmann et al, “3D Mask Effects in High NA EUV Imaging”, Proc. SPIE. 10957 (to be published, 2019)
13
G. McIntyre et al,” Enhancing resolution with pupil filtering for projection printing systems with fixed or restricted
illumination angular distribution”, Proc. SPIE 8679 (2013)
14
P. Naulleau et al, “The SEMATECH Berkeley MET pushing EUV development beyond 22-nm half pitch”, Proc. SPIE
7636 (2010)
15
S. Sherwin et al, “Modeling high-efficiency extreme ultraviolet etched multilayer phase-shift masks”, J. Micro/Nanolith.
MEMS MOEMS. 16(4), (2017)