TCL Interview Preparation
TCL Interview Preparation
INTERVIEW QUESTIONS
FOR PHYSICAL DESIGN
ENGINEERS
Prasanthi Chanda
1. What are associative arrays in TCL, and how are they
useful in physical design?
Associative arrays (also called dictionaries or hash
tables) in TCL allow storing data in key-value pairs. This
is useful in physical design for mapping pin names to
locations, tracking congestion areas, and storing design
constraints.
parallel_exec -tasks {
{route_design}
{opt_design}
{report_timing}
}
16. How do you extract and analyze congestion reports
in Innovus using TCL?
Use report_congestion and parse the data.
read_verilog modified_design.v
read_sdc new_constraints.sdc
set_fix_hold [get_timing_paths -setup_violation]
30. How do you create a TCL script for STA report
extraction in PrimeTime?
Automate timing report extraction and formatting.
report_fanout_violations -threshold 10
57. How do you generate a list of all clock groups in a
design?
Use get_clocks and group them.
check_timing
60. How do you create a custom TCL function to
generate a timing report for multiple clocks?
Use a procedure looping through clocks.
proc report_all_clocks_timing {} {
foreach clk [get_clocks] {
puts "Timing report for clock: $clk"
report_timing -clock $clk
}
}
report_all_clocks_timing
Excellence in World class
VLSI Training & Placements
+91- 9182280927