0% found this document useful (0 votes)
1K views880 pages

19-25-04433-00-03 AP200 AP300 AP300-W Operations Manual Rev E

The AP200/AP300/AP300-W Operations Manual provides essential contact information for Ultratech's sales and service operations across various locations. It includes a comprehensive overview of safety precautions, operational procedures, and equipment diagnostics, emphasizing the importance of using compatible software to avoid conflicts. Additionally, the manual outlines the document's history, including revisions and updates made over time.
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
1K views880 pages

19-25-04433-00-03 AP200 AP300 AP300-W Operations Manual Rev E

The AP200/AP300/AP300-W Operations Manual provides essential contact information for Ultratech's sales and service operations across various locations. It includes a comprehensive overview of safety precautions, operational procedures, and equipment diagnostics, emphasizing the importance of using compatible software to avoid conflicts. Additionally, the manual outlines the document's history, including revisions and updates made over time.
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 880

AP200/AP300/AP300‐W

Operations Manual

19-25-04433-00-03, Rev. E, ECN 15971


Ultratech Contact Information
The following is a list of Ultratech Sales and Service Operations telephone numbers and addresses
that are available for consultation, equipment related emergencies, or equipment failure.

Ultratech Inc. Ultratech, Dresden


Corporate headquarters: Moritzburger Weg 67,
3050 Zanker Road Ateliergebäude 2, EG
San Jose, CA 95134 D-01109 Dresden
main phone number: Germany
800-222-1213 or 408-321-8835 Phone: +49 351 327 9903
fax: 408-577-3374 - Sales Fax: +49 351 46561018
fax: 408-577-3590 - Service

Ultratech, K.K. Ultratech Asia


Yokohama-Shi Kouhoku-ku F1, No. 26-1, Taiyuan Street
Shinyokohama, 2 Chome 5-10 Chupei City, Hsinchu County
Kaede 2nd Building, 8F Taiwan 302, R.O.C.
222-0033 phone: 011-886-3-552-6780
Japan fax: 011-88635526790
phone: +81-45-478-6742
fax: +81-45-478-6715

Ultratech Korea Ultratech Shanghai Co., Ltd.


The Rehoboth, Suite 1214 Unit 4, Rm 504, Building #1,
22-3 Sunae-Dong, Bundang-Ku 3000 Longdong Avenue,
Seongnam-City Pudong, Shanghai,
Gyeonggi-Do, Korea (463-825) P.R.China 201203
phone: 82-31-712-0351 Phone 86-21-6879-0825
fax: 82-31-712-0184 Fax 86-21-6879-0829

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 2
Notice
No part of this publication may be reproduced or transmitted in any form or by any means electronic
or mechanical, including photocopying, recording, or any information storage and retrieval system,
without permission in writing from Ultratech, Inc.
Material in this publication is provided for informational purposes only and is subject to change
without notice. This publication is provided to you with no guarantees as to the operation,
specification, or use of the equipment and/or software described here in. Ultratech has done its best
to provide an error free document, however there may be errors and typographical mistakes in this
publication. Ultratech is not responsible for any errors found in this publication, or misunderstanding
of the information presented here in. Nor will Ultratech be held responsible for improper operation
of the equipment; causing loss of product, personal injury, or damage to the equipment, induced
by errors in documentation or misunderstanding of the documentation.
Ultratech is not responsible for any damage caused by any virus that infects the PC on the
equipment. Ultratech does not install nor support any anti-virus software. Ultratech is not held
responsible for damage done to the equipment or product, or any degradation in performance due
to any software, not supplied by Ultratech, including anti-virus software, installed by the user. It is
the user’s responsibility to insure that all communication with the PC is free of any viruses, worms,
or trojan horses. This includes all SECS and GEM communication as well as portable media used to
transfer data.
Ultratech is not responsible for any damage to the equipment caused by any material (in liquid, solid
or gas phases) either generated as a result of wafer processing through the equipment (including
wafer transfer and exposure or annealing) or resident in the fab environment.

Software License Notification


All software licensed by Ultratech, Inc. is licensed: (a) pursuant to the terms of Ultratech, Inc.'s
applicable software license agreement(s); and (b) for use on computers that only have installed on
them and that only run software that is either (i) licensed by Ultratech, Inc. or (ii) specifically
designated in writing by Ultratech, Inc. as being compatible with software licensed by Ultratech,
Inc.
If you install or run any software that has not been specifically designated in writing by Ultratech,
Inc. as being compatible with software licensed by Ultratech, Inc. (including, but not limited to, e-
mail or anti-virus software) on any computer running software that is licensed by Ultratech, Inc.:
(a) such non-compatible software (i) may cause conflicts with software that is licensed by Ultratech,
Inc. (including, but not limited to, causing software crashes, software performance degradation,
system lockups, or other conflicts), or (ii) may cause other adverse effects upon equipment
provided by Ultratech, Inc. (including, but not limited to, diminished throughput, degraded overlay,
reduced performance, or other adverse effects); and (b) Ultratech, Inc. will not be liable in any way
for any such conflicts or other adverse effects of any kind.
YOU ARE STRONGLY CAUTIONED TO INSTALL AND RUN ONLY SOFTWARE THAT HAS BEEN
SPECIFICALLY DESIGNATED IN WRITING BY ULTRATECH, INC. AS BEING COMPATIBLE WITH
SOFTWARE LICENSED BY ULTRATECH, INC. ON COMPUTERS THAT HAVE INSTALLED ON THEM
AND RUN SOFTWARE LICENSED BY ULTRATECH, INC. IF YOU FAIL TO OBSERVE SUCH
PRECAUTIONS, YOU DO SO AT YOUR OWN RISK.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 3
Document History
Release
ECN Part # and Revision Summary of Changes
Date
13956 19-25-04433-00-03, Rev A Nov, 2005 Initial release.
14173 19-25-04433-00-03, Rev B April, 2006 Edited, re-organized, and added more information.
14499 19-25-04433-00-03, Rev C Sept, 2006 Added information on CLC and PM during warranty
and edited the manual.
15752 19-25-04433-00-03, Rev D April, 2009 Updated contact information.
Updated all material to 4.3 software.
Combined Getting Started and Detailed Operations
Chapters into one chapter - Operations.
Added all new features, relative to Operations, from
3.4 Rev. A forward.
Reviewed and updated the procedures in the
Diagnostics chapter.
15971 19-25-04433-00-03, Rev E Nov, 2009 Modified Notice section.

AP200/AP300/AP300-W
Operations Manual

19-25-04433-00-03, Rev. E, ECN 15971 (Nov, 2009)


19-25-04433-01 (Cleanroom Paper)
19-25-04433-02 (Non-Cleanroom Paper)
19-25-04433-03 (CD ROM)

Copyright © 2009 by Ultratech, Inc.


All rights reserved.

Created in the United States of America.

Ultratech, Inc.
3050 Zanker Rd.
San Jose, CA 95134
Phone: 408-321-8835 Fax: 408-325-6444

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 4
AP200/AP300/AP300‐W Operations Manual Table of Contents

Table of Contents

Chapter 1 - Introduction
About This Manual ............................................................................................ 13
Purpose of the Stepper ..................................................................................... 16

Chapter 2 - Safety
Safety ............................................................................................................... 21
General Safety Precautions............................................................................... 22
Clearance For Safety Access ............................................................................. 23
Hazard Alerts .................................................................................................... 24
Lockout and Tagout - LOTO .............................................................................. 29
Emergency Off (EMO) Circuit ............................................................................ 30
System Interlocks ............................................................................................. 31
Illuminator Safety............................................................................................. 32
Mercury Arc Lamp Safety .................................................................................. 34
Electronic Rack ................................................................................................. 37
Chemicals.......................................................................................................... 40
Equipment Damage Prevention ........................................................................ 42
Decontamination and Decommissioning ........................................................... 43

Chapter 3 - Operation
Introduction...................................................................................................... 45
System Control ................................................................................................. 46
Navigating Through the Screens....................................................................... 54
Operation Modes............................................................................................... 58
Jobs .................................................................................................................. 65
Job Manager ..................................................................................................... 79
Start Job ........................................................................................................... 84
Terminating Jobs .............................................................................................. 95
Broken Wafer Response.................................................................................... 98

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 5
AP200/AP300/AP300‐W Operations Manual Table of Contents

Wizards ............................................................................................................. 99
Startup Functions ........................................................................................... 102
Function Menus............................................................................................... 120
Event Log Details ............................................................................................ 139
Alarms............................................................................................................. 141
Manual Assist .................................................................................................. 143
Individual Feature Descriptions for MVS Mode ............................................... 151
MVS Pattern Training ...................................................................................... 157
Initializing Hardware ...................................................................................... 172
Machine Variables ........................................................................................... 175
User Configuration Menu ................................................................................ 182
Setup Tools ..................................................................................................... 183
Variables Editor .............................................................................................. 198
Process Program Tools.................................................................................... 204
Edit Registry Settings ..................................................................................... 210
Critical Files Backup........................................................................................ 215
Debug Log Backup Feature ............................................................................. 241
Wafer Handler Operation ................................................................................ 248
Power Up Procedure ....................................................................................... 266
Power Down Procedure .................................................................................. 273

Chapter 4 - Alignment Operations


Reticles ........................................................................................................... 276
Wafer Features ............................................................................................... 283
MVS Alignment Theory of Operation ............................................................... 285
MVS Auto-Focus and IBC ................................................................................ 295
MVS Flat Align................................................................................................. 297
Process Programs ........................................................................................... 299
Loading a Reticle ............................................................................................ 307
First Layer Creation Sequence ........................................................................ 311
MVS Alignment Sequence ............................................................................... 312
Patmax Scoring System .................................................................................. 332
EGA Alignments .............................................................................................. 335

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 6
AP200/AP300/AP300‐W Operations Manual Table of Contents

Chapter 5 - Subsystems
Environmental Chamber ................................................................................. 338
System Controller ........................................................................................... 354
Power Panel .................................................................................................... 356
Utility Panel .................................................................................................... 357
Pneumatic Controller ...................................................................................... 358
Stage Base Frame ........................................................................................... 359
EFEM (Frontend) ............................................................................................. 360
Enhanced Reticle Management ....................................................................... 385
Light Tower..................................................................................................... 393
Illuminator...................................................................................................... 430
Light Spectrum ............................................................................................... 436
Arc Lamp Power Supply .................................................................................. 437
Field Aperture ................................................................................................. 442
Reticle/Prism Cooling System (Optional) ....................................................... 445
Projection Optics (Lens Assembly) ................................................................. 458
X, Y and Theta Stages ..................................................................................... 461
Focus Control System ..................................................................................... 466
Wafer Edge Sensor.......................................................................................... 477
Reticle Stage................................................................................................... 478
Machine Vision System (MVS)......................................................................... 481
Wafer Edge Protection .................................................................................... 491
Prism Protection Assembly ............................................................................. 494
XY Stage Artifact and Frosty OAT Description ................................................ 496

Chapter 6 - Utilities
System Pressure and Vacuum Regulation....................................................... 501

Chapter 7 - Electronics
Introduction.................................................................................................... 505
Electronics Rack Components ......................................................................... 506
Serial Device Server (MOXA) .......................................................................... 516

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 7
AP200/AP300/AP300‐W Operations Manual Table of Contents

Electronic Components Not in the Rack .......................................................... 522


Circuit Breakers .............................................................................................. 528
Low Voltage Control Circuit ............................................................................ 532
Interlocks and EMO Block Diagrams ............................................................... 533

Chapter 8 - Diagnostics
Diagnostics Disclaimer.................................................................................... 537
Short Step Focus Routine ............................................................................... 538
Fine Focus System Setup ................................................................................ 547
MVS Offset Calibration (Stepper MVS Overlay)............................................... 556
Wafer Align and Return - Prealign .................................................................. 563
Frosty OAT Calibration .................................................................................... 567
Zero Wafer ...................................................................................................... 572
Product Focus Exposure Matrix ...................................................................... 580

Appendix A - Machine Configuration


Machine Configuration Menu .......................................................................... 594
Auto Focus Alignment System (AFAS) ............................................................ 597
AFAS Align Site ............................................................................................... 600
AFAS Rotation Adjustment ............................................................................. 602
Alignment System ........................................................................................... 604
Alignment Data ............................................................................................... 605
MVS On-Axis System ....................................................................................... 608
MVS Off-Axis System ...................................................................................... 616
Aperture System ............................................................................................. 619
Chuck and Wafer Configuration ...................................................................... 621
Critical File Backup ......................................................................................... 623
Debug Log....................................................................................................... 624
Debug Output ................................................................................................. 625
Filter Changer System..................................................................................... 627
Focus System .................................................................................................. 628
Focus Offsets and Tolerances ......................................................................... 634
Focus Positions and Settings .......................................................................... 636

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 8
AP200/AP300/AP300‐W Operations Manual Table of Contents

Focus ZAxis and Calibrations .......................................................................... 639


Grid Focus Parameters.................................................................................... 642
Short Step Focus Settings ............................................................................... 643
Frosty Oat Configuration ................................................................................ 645
Illuminator System ......................................................................................... 650
Miscellaneous Configurations ......................................................................... 655
Reticle Prism Cooling ...................................................................................... 657
Reticle Mixed (TC1) ........................................................................................ 658
Prism Mixed (TC2) .......................................................................................... 660
Reticle Cold (TC3) ........................................................................................... 662
Prism Cold (TC4) ............................................................................................. 664
IR Sensor (TS1) .............................................................................................. 666
Reticle Stage System ...................................................................................... 668
Storage Management...................................................................................... 673
Scheduled Maintenance > MVS Lamp Life Expiration ..................................... 676
Scheduled Maintenance > Illuminator Lamp Life Expiration .......................... 678
Wafer Edge Protection System ....................................................................... 679
Wafer Handler System .................................................................................... 682
Wafer Stage System ....................................................................................... 683
Lifter Parameters ............................................................................................ 685
Vacuum Parameters........................................................................................ 686
Wafer Processing Setup .................................................................................. 687

Appendix B - User Configuration


User Configuration Menu ................................................................................ 690
Clear User Configurations ............................................................................... 692
Load Process Program Config ......................................................................... 693
Load Reticle Config ......................................................................................... 695
Misc Config Page 1.......................................................................................... 698
Misc Config Page 2.......................................................................................... 700
Process Wafer Configuration .......................................................................... 702
Prompts .......................................................................................................... 707

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 9
AP200/AP300/AP300‐W Operations Manual Table of Contents

Appendix C - Process Programs


Process Program Data .................................................................................... 712
AFAS Data ....................................................................................................... 713
Change Field Locations ................................................................................... 715
Configuration .................................................................................................. 717
EGA Setup ....................................................................................................... 721
Exposure Parameters...................................................................................... 728
Field # Specific Data Menu ............................................................................. 731
Field Align Site Data ....................................................................................... 738
Field n Temperature Sensor 1 ......................................................................... 746
Field Specific Process Program Data (Edit Wafer Map)................................... 748
Focus............................................................................................................... 754
Grid Focus Parameters.................................................................................... 756
Grid Focus Setup ............................................................................................. 757
LR Focus Separation Setup ............................................................................. 760
Voting Setup ................................................................................................... 763
Focus Exposure Matrix Setup.......................................................................... 765
Global Alignment Parameters ......................................................................... 779
Graphical Alignment Editor ............................................................................. 784
Machine Specific Offsets (Z Array).................................................................. 795
MVS Reticle Alignment.................................................................................... 796
MVS Auto Focus and IBC ................................................................................. 798
MVS Key Precapture........................................................................................ 800
Reticle Cooling ................................................................................................ 803
Reticle Offset Data.......................................................................................... 806
Reticle Title..................................................................................................... 807
Step Specific Process Mode (SPM) .................................................................. 809
Step Specific Process Program Data (Edit Wafer Map) ................................... 821
Wafer Edge Exposure ...................................................................................... 828
Saving Process Program Data ......................................................................... 834

Appendix D - Material Safety Data


Maintenance Chemicals .................................................................................. 838

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 10
AP200/AP300/AP300‐W Operations Manual Table of Contents

Appendix E - Electronics and Pneumatics Drawings


Introduction.................................................................................................... 845
19-25-03592 Sheet 1 ...................................................................................... 846
19-25-03592 Sheet 2 ...................................................................................... 847
19-25-03592 Sheet 3 ...................................................................................... 848
19-25-03592 Sheet 4 ...................................................................................... 849
19-25-03592 Sheet 5 ...................................................................................... 850
19-25-03592 Sheet 6 ...................................................................................... 851
19-25-03592 Sheet 7 ...................................................................................... 852
19-25-00984 Sheet 1 ...................................................................................... 853
19-25-00984 Sheet 2 ...................................................................................... 854
19-25-00984 Sheet 3 ...................................................................................... 855
19-25-00984 Sheet 4 ...................................................................................... 856
19-25-00984 Sheet 5 ...................................................................................... 857
19-25-00984 Sheet 6 ...................................................................................... 858
19-25-00984 Sheet 7 ...................................................................................... 859
19-25-00984 Sheet 8 ...................................................................................... 860
19-25-00984 Sheet 9 ...................................................................................... 861
19-25-00984 Sheet 10 .................................................................................... 862
19-25-02228 Sheet 1 ...................................................................................... 863
19-25-02228 Sheet 2 ...................................................................................... 864
19-25-02228 Sheet 3 ...................................................................................... 865

Glossary

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 11
3050 Zanker Rd, San Jose, CA 95134

Chapter 1
Introduction

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 12
Introduction About This Manual

About This Manual

Purpose

WARNING
DURING THE WARRANTY TIME, ALL AP MONTHLY, QUARTERLY, SEMI-
ANNUAL, AND ANNUAL PREVENTIVE MAINTENANCE WILL BE PERFORMED
BY ULTRATECH FIELD SERVICE ENGINEERS. PERFORMING ANY TASK
THAT MAY CAUSE DAMAGE TO SYSTEM MAY LEAD TO WAIVING THE
WARRANTY. TRAINED CUSTOMERS CAN OPERATE, AND PERFORM
NECESSARY DAILY, WEEKLY, CLEANING AND SETUP TASKS AS OUTLINED
IN THE ULTRATECH PRODUCT MANUALS.

WARNING
THIS AP PRODUCT OPERATIONS MANUAL IS INTENDED TO BE USED BY
TRAINED STEPPER SYSTEM OPERATORS, MAINTENANCE AND PROCESS
ENGINEERS.

PERFORMING ANY TASK WHICH MAY RESULT IN SYSTEM FAILURE BY


PERSONNEL WHO ARE NOT TRAINED ON USE OF THE SYSTEM MAY LEAD
TO VOIDING THE WARRANTY AND RESPONSIBILITY OF THE CUSTOMER
FOR POTENTIAL MATERIAL COST.

TRAINED STEPPER SYSTEM OPERATORS ARE PERSONS THAT HAVE


COMPLETED THE ONE-WEEK ULTRATECH UNITY LITHO PRODUCTS
OPERATIONS COURSE.

ULTRATECH STRONGLY RECOMMENDS THAT ALL PERSONNEL OPERATING


THE AP SYSTEMS COMPLETE THE ONE WEEK OPERATIONS CLASS. THE
COURSE PROVIDES THE NECESSARY INFORMATION ON HOW TO SAFELY
OPERATE THE SYSTEM AS WELL AS DETAILED INFORMATION ON SUB-
SYSTEMS, THEIR COMPONENTS, AND IMPORTANT DIAGNOSTIC TESTS. IN
ADDITION, ULTRATECH ALSO RECOMMENDS THAT ALL MAINTENANCE
ENGINEERS AND TECHNICIANS COMPLETE PREVENTIVE MAINTENANCE
AND MATERIAL HANDLER (FRONT-END) COURSES.

Models Covered
At the release date, this manual applied to the following Unity Products stepper models:
z AP tools (I, GH, and GHI and Widefield)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 13
Introduction About This Manual

NOTE . . .
THE AP TOOLS CAN BE USED TO PROCESS 12-INCH (300MM), 8-INCH (200MM) AND 6-INCH WAFERS (150
MM) BY CHANGING SOME HARDWARE AND SOFTWARE CONFIGURATION CHANGES.

NOTE . . .
ULTRATECH RESERVES THE RIGHT TO CHANGE OR MODIFY PROCEDURES, SOFTWARE, COMPONENTS, AND
SPECIFICATIONS WITHOUT ADVANCE NOTICE. FOR FURTHER INFORMATION, CONTACT ULTRATECH.

Software Version
This manual was written for use with steppers running ECS version 4.3. To determine the version
of your software, press the “About” button at the lower right corner of the main menu.
With the release of 4.3 Rev A and subsequent releases, the equipment software supports only
Windows XP Professional. For information about upgrading to Windows XP Professional, please
contact your Ultratech representative.

Using This Manual


As mentioned earlier, this manual is intended for personnel with proper training and experience.
Caution must be used at all times when working around the stepper. Many of the procedures in
this manual follow in a given order, and deviating from that order can result in less-than-ideal
system operation. Please follow the recommended steps. Less experienced personnel should
seek advice and assistance when working with the stepper.
The layout of this manual has been standardized to assist Operators in understanding the
information provided. Procedures in this manual list the purpose of the procedure, when
completion of the procedure is required, the equipment required to complete the procedure,
what must be done prior to performing the procedure, and what must be done after the
procedure is completed (as appropriate).
Notes, in the format seen in “Models Covered”, are intended to provide important supplemental
information to the system user.

Cross References
Throughout the manual, references are made to other chapters, figures, or procedures that
appear in other locations in the manual. For example:
z Ensure process program data has been loaded. Refer to “Load Process Program” on
page 204.
In addition, the Table of Contents can be used to find a referenced chapter or procedure.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 14
Introduction About This Manual

On‐Line Documentation
This manual in PDF form may be loaded onto the stepper’s hard drive. If present, access the
documentation by following these steps:
From the Main Menu:
Press: Setup Menu . . . to access the setup menu
Press: Manual Assist . . . to enter manual assist mode
Press: Tools . . . tab on left side of screen
Select: View Ultratech Documentation
Press: Select
Select: Desired file to view
Press: Next >
Adobe Acrobat Reader will open with the manual file loaded. Use the bookmarks function in
Acrobat Reader to navigate through the manual.
To return to the Main Menu after Acrobat Reader is opened:
Press: Cancel . . . to return to the tools menu
Press: Done . . . to exit manual assist mode
Acrobat Reader can be minimized, closed, or restored using either its on-screen buttons, or from
the Windows taskbar.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 15
Introduction Purpose of the Stepper

Purpose of the Stepper


The easiest way to think of the stepper is as a giant camera that accurately positions and then
exposes an image. The image of the reticle is projected onto a semiconductor wafer or substrate
(see Figure 1). That wafer is coated with photoresist, a light-sensitive material, that acts like
the film in a camera.

Figure 1: Reticle Image Placed on Wafer

Reticle Image
Projected Onto
Wafer Surface

(Reticle) (Wafer) (Imaged Wafer)

The wafer is aligned to the reticle image with the MVS (Machine Vision System). It uses trained
and saved images of the reticle and wafer features, searches for the images and then aligns the
wafer to the reticle.
The illuminator uses a mercury vapor arc lamp (see Figure 2). Exposure light is used to expose
the reticle image onto the wafer surface. The MVS has its own light source for alignment.

Figure 2: Simplified Illuminator

GHI-Line Exposure Light


Green Light

Shutters

Optics
Optics

Controlled
Light Arc Lamp
I, GH, or GHI
Out
(Illuminator)
Reticle

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 16
Introduction Purpose of the Stepper

When exposing a wafer, light takes the following path through the lens system (see Figure 3).

Figure 3: Projection Optics Light Path

Primary Mirror

Light From
Illuminator

Reticle
Prisms
Wafer

z Light from the illuminator passes through the reticle image.


z After passing through the reticle image, the light is deflected by the input prism to the
primary mirror.
z The image is reflected by the primary mirror, and projected down to the wafer through the
output prism.
The surface of the wafer must be placed in the focal plane. The focal plane is where the image
of the reticle is in focus. The focus system positions the wafer in the Z axis to put the wafer in
proper focus.
The wafer and reticle image must be precisely positioned relative to each other. Using the
positioning information from the MVS, the XY stage is used to position both the reticle over the
input prism, and the wafer beneath the output prism. Some of the positioning steps include the
following:
z The wafer is held by vacuum on the wafer chuck, which is mounted on the XY stage
z The XY stage moves the wafer in the X and Y axes, and the stage movements are monitored
by encoders

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 17
Introduction Purpose of the Stepper

z The wafer chuck rotates the wafer in theta with a linear motor, for coarse rotational
alignment. Fine theta is corrected by piezo theta actuators (refer to Figure 4)

Figure 4: XY Stage With Wafer Chuck

z The focus system is responsible for determining and controlling the vertical position (Z axis)
of the wafer

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 18
Introduction Purpose of the Stepper

During alignment, the MVS cameras view the wafer through windows on the reticle. These
windows contain keys, as shown in Figure 5.

Figure 5: MVS Alignment System - Projection Optics Not Shown


Video
Cameras

Flipper
Prism
MVS
Window

Reticle Key Wafer Target

Camera Field of View

The wafer target’s position, relative to the reticle key, is reported to the system controller. If the
wafer is not in the proper location, the XY stage is utilized to position the wafer properly.
The term “key” will always refer to the alignment pattern located on the reticle. The “target” is
the pattern on the wafer that is used for alignment to the key.
The AP steppers are intended primarily for gold and solder bump lithography. The AP stands for
advanced packaging.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 19
3050 Zanker Rd, San Jose, CA 95134

Chapter 2
Safety

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 20
Safety Safety

Safety
The following types of hazards are associated with the Ultratech Unity Litho stepper:
z Non-ionizing radiation (visible and invisible light, magnetic)
z Mechanical (pinch, crush)
z Electrical (shock, burn)
z Hot surfaces (burns)
z Ergonomic (lift, pull, reach, material handling)
Observe all Caution, Warning and Danger messages for your personal safety, and to prevent
damage to the equipment and wafers being processed.

Safety Messages
CAUTION
CAUTIONS ARE USED TO INDICATE THAT, IF NOT HEEDED, SYSTEM OPERATION
MAY FAIL, PROCEDURES MAY HAVE TO BE REPEATED, EQUIPMENT COULD BE
DAMAGED, OR OTHER PROBLEMS MAY OCCUR.

WARNING
WARNINGS INDICATE THAT PERSONNEL COULD BE INJURED, OR
SERIOUS SYSTEM DAMAGE COULD OCCUR, IF PROPER PRECAUTIONS ARE
NOT FOLLOWED.

DANGER
DANGER SIGNIFIES INFORMATION, OR POINTS OUT AN
IMMEDIATE HAZARD THAT, IF NOT STRICTLY OBSERVED, WILL
RESULT IN SEVERE PERSONAL INJURY OR DEATH.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 21
Safety General Safety Precautions

General Safety Precautions


z Follow all safety instructions provided in the manual set.
z Only trained and authorized personnel should operate the equipment.
z Do not the system when interlocks are overridden.
Your compliance with the following safety practices and those appearing throughout this manual
is expected and required for safe operation of the stepper:
1. IMMEDIATELY report any unsafe conditions to a supervisor.
2. Comply with all applicable regulations governing disposal of hazardous materials. Dispose
of waste materials in a manner that will prevent air and water pollution, and will not expose
humans, animals, or vegetation to hazards.
3. Make sure that all personnel know the location of the main circuit breaker and EMO buttons
in case of an electrical emergency.
4. Locate fire extinguishers near the equipment. The extinguishers MUST be of a type
approved for electrical or chemical fires in accordance with OSHA and local, state, and
federal codes.
5. DO NOT operate the Ultratech Unity Litho stepper in the presence of flammable gases or
fumes. Operation of any electrical equipment in such an environment constitutes a serious
safety hazard.
6. Operating personnel MUST NOT remove covers or panels. Component replacements and
internal adjustments MUST be made by authorized maintenance personnel.
7. Hardware and software interlocks, hazard alert labels, and protective tool-to-remove guards
are used on potentially hazardous areas of the Unity stepper. These safeguards protect
personnel from potential exposure to hazards during normal operation and maintenance.
Operation and maintenance manuals provide procedures and information for personnel to
safely perform required tasks. Visual hazard alerts are incorporated in these manuals and
on the tool to warn personnel of hazards they may encounter.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 22
Safety Clearance For Safety Access

Clearance For Safety Access


We recommend three feet (one meter) of clearance on all sides of the chamber to provide
access for maintenance tasks.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 23
Safety Hazard Alerts

Hazard Alerts
There are three types of hazard alerts on the system, the light tower, the operator console, and
labels on the system. The function of each is described below:

Light Tower
The light tower is a visual indication of the current condition of the machine. See Figure 6.

Figure 6: Light Tower

The configuration of the lights on the tower is customizable, as described in “Light Tower” on
page 393.

Operator Console Messages


All hazard alerts occur on the operator console in the form of alarms. The alarm condition is
stated within the alarm message, as shown in the following examples:

Interlock Alarms
“Interlock Emergency: Interlock(s): Front End Doors; Hardware Interlock signaled” indicates a
front end door has been opened.
“Interlock Emergency: Interlock(s): Chamber Doors; Hardware Interlock signaled” indicates a
chamber door has been opened.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 24
Safety Hazard Alerts

“Interlock Emergency: Interlock(s): Stage Vacuum; Hardware Interlock signaled” indicates a


loss of stage vacuum has occurred.
“Interlock Emergency: Interlock(s): Stage Pressure; Hardware Interlock signaled” indicates a
loss of stage pressure has occurred.
“Interlock Emergency: Interlock(s): Hardware Interlock; Hardware Interlock signaled” indicates
a door interlock switch is malfunctioning or is improperly aligned.

General Alarms
There are too many possible alarms to list here. The light tower will flash the yellow light. The
alarm message will describe the type of alarm.

Hazard Alarms
Hazard alarms result in the light tower flashing red. The specific nature of the alarm will be
displayed on the alarm message screen.

Hazard Labels
The labels corresponding to hazardous conditions on the system are as follows:

Table 1: Hazard Label Location

Hazard Hazard Location Warning Label Label location

Mechanical motion Stage See Figure 7 On Chamber and Front end doors

Robot On Chamber and Front end doors

Electrical – Chamber – AC panels See Figure 8 and On chamber AC panels, touch safe
hazardous voltage Figure 9 covers

Electrical – UPS Electronics Rack – See Figure 12 UPS, system and stage controller,
UPS powered boxes network switch, serial server

Magnetic Field Stage motors See Figure 13 On stage X1, X2 and Y motors

Hot Surfaces Chamber HVAC See Figure 10 and On access panels to chamber HVAC
Figure 11

Heavy Object – E-Rack Boxes, Stage See Figure 14 Boxes and items that are heavy or
ergonomic injury components awkward to lift and may require a
mechanical lift assist to service

Tipping – heavy E-rack See Figure 15 AC box and E-rack frame


object

Leak – system Chamber HVAC Alarm on software System monitor


damage screen when leak
occurs

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 25
Safety Hazard Alerts

Figure 7: Pinch or Crush Label

Figure 8: Hazardous Voltage Label

Figure 9: Hazardous Voltage Symbol

Figure 10: Burn Hazard Label

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 26
Safety Hazard Alerts

Figure 11: Extreme Temperatures Label

Figure 12: UPS Label

Figure 13: Magnetic Field Label

Figure 14: Heavy Object Label

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 27
Safety Hazard Alerts

Figure 15: E-Rack Tip Label

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 28
Safety Lockout and Tagout ‐ LOTO

Lockout and Tagout ‐ LOTO


This section summarizes the Ultratech LOTO requirements of the Unity stepper.
Lock Out/Tag Out provides trained and authorized service and maintenance personnel with a
safe method for working on or near any system where exposure to hazardous voltages,
mechanical motion, or UV light energy is possible. This method accomplishes the following:
z Locking primary energy sources by padlocking energy sources in the OFF position (referred
to as Lockout).
The primary rule of lockout tagout is that the person protected by the lockout device must be
the one that controls it (generally has possession of the key). If multiple people are working in
an area and protected by the lockout device, they must all have hard control over the removal
of the lockout device. Group lockout can be done in numerous ways, and is regulated differently
in different locations. Check with the local requirements before doing group lockout, but ensure
that the primary rule is met. If a LOTO device is installed on the system, contact the person
named on the tag for tool status.

Figure 16: Lockout Tagout Gear

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 29
Safety Emergency Off (EMO) Circuit

Emergency Off (EMO) Circuit


EMO’s should be pushed to shutdown the system, whenever a situation occurs that threatens
the safety of any individual, or whenever a machine condition exists that could result in serious
damage to the equipment. The EMO buttons should not be used for non-emergency situations,
in those cases the ABORT on-screen button is a better solution.There are seven (7) EMO buttons
on the system that will remove power from the system when any of them are depressed. The
EMO circuit removes all electrical power to the chamber except for:
Chamber:
z 208 VAC on the line and load terminals of CB202, the 150A chamber main circuit breaker.
z 208 VAC on terminals 1, 3 and 5 of CR203.
z 208 VAC on terminals L1 and L2 of the 24 VDC EMO power supply.
z 208 VAC on terminals 10 and 12 of CR404, the EMO pilot relay.
z 208 VAC on fuses FU204, FU2051, FU2061 and FU2071.
z 24 VDC on terminal V+ of the 24 VDC EMO power supply.
z 24 VDC on fuse FU2072.
z 24 VDC on one terminal of the actuated EMO switch.
z 24 VDC on terminal 9 of CR404, the EMO pilot relay.
When the EMO circuit is activated, power is also removed from the front end and process
module.
There are 2 EMOs located on the front face of the front end. There are 5 EMOs on the chamber,
one on each side and the rear of the chamber, and two inside the chamber.

Figure 17: EMO Buttons

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 30
Safety System Interlocks

System Interlocks
Table 2 describes the safety interlocks provided on the Ultratech Unity stepper.

Table 2: System Interlocks

Energies Over-ride
Sensor location How controlled
controlled functionality

All chamber and front end Stage mechanical Removes power from Can be overridden to
doors and pod door opener motion stage drivers allow stage calibration
locations and troubleshooting

Robot motion Disables driver power Can be overridden to


to robot allow robot calibration
and troubleshooting.

Chamber HVAC leak sensor Electrical power to Shuts off chamber, None
chamber. stepper remains on.
Alarm sounds.

Chamber heater over- Heat Removes power from None


temperature the heater

AC Panel (Chamber) Electrical energy The AC panel can not The system can be re-
be opened with the energized once the door
main breaker closed is open
(energized state).

Loss of CDA pressure to stage Stage mechanical Removes power from None
air bearings motion stage drivers

Robot motion Disables driver power None


to robot

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 31
Safety Illuminator Safety

Illuminator Safety
The 1200 W illuminator system, single or dual, creates intense light over a broad spectrum,
including the g, h and i lines. A significant portion of this light is emitted in the UV spectrum,
invisible to the human eye.
Your compliance with the following safety practices and those appearing throughout this manual
is expected and required for safe operation and maintenance of the illuminator:
1. Always wear UV safety glasses when working with any stepper.
2. When the stepper is performing exposures, all personnel in the vicinity of the machine must
wear proper UV eye protection. Mercury I-Line energy used during the exposures is
dangerous to unprotected eyes.
3. To prevent possible eye injury from high exposure energy, never look through the reticle
setup microscope when someone is toggling a shutter. Always look away when requesting
the alignment shutter be opened.
4. When the stepper is set for i-line exposures, always make sure the exposure shutter is closed
before looking through the reticle setup microscope. It is not obvious when mixed with the
green alignment light.
5. Do not look directly into the illuminator light source.
6. Always wear proper protective safety glasses when working around sources of UV light.
There are green safety glasses for high intensity light with the UT part number of 41-15-
09485.
7. Never allow any part of your body (or anything else that should not be exposed) to come in
contact with the light produced by the illuminator. This high intensity light is extremely bright
and it may damage skin, gloves, chuck, etc. in a very short time.
8. Place a wafer on the chuck whenever you are performing any work that may expose the
chuck to the illuminator’s output.
9. Never leave the exposure shutter open for any extended period of time. It is suggested that
the exposure shutter not be opened for more than 20 seconds at a time. Any longer may
damage parts on the stage, chuck, etc.

Mercury Safety
There are up to three lamps in the stepper that contain mercury. If the system is configured
with a dual illuminator, then there is one mercury arc lamp in each illuminator. If the system is
equipped with the wafer edge exposure (WEE) option, then there is a mercury-xenon lamp in
the WEE controller.

WARNING
MERCURY IS AN EXTREMELY HAZARDOUS SUBSTANCE!

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 32
Safety Illuminator Safety

WARNING
HANDLE THE LAMPS WITH CAUTION. IN CASE OF A BROKEN LAMP DUE
TO EXPLOSION OR HANDLING, AVOID TOUCHING YOUR EYES AND SKIN,
WHICH ARE EASY ENTRY POINTS FOR MERCURY TO YOUR BODY.
ADDITIONALLY, AFTER HANDLING A BROKEN LAMP AND ITS
CONTAMINATED COMPONENTS, YOU SHOULD CONSIDER YOUR GLOVES
CONTAMINATED, AND MUST DISPOSE THEM ON-SITE IN THE SAME
CONTAINER USED FOR DISPOSAL OF THE MERCURY LAMP ACCORDING TO
THE SITE’S LOCAL REGULATIONS. FOR INFORMATION ON LAMP
EXPLOSION CLEAN-UP, REFER TO THE ILLUMINATOR CHAPTER OF THE
PREVENTIVE MAINTENANCE MANUAL.

WARNING
USED MERCURY LAMPS MUST BE DISPOSED IN ACCORDANCE TO YOUR
LOCAL REGULATIONS. FOR MORE INFORMATION ON LAMP
REPLACEMENT, REFER TO THE ILLUMINATOR CHAPTER OF THE
PREVENTIVE MAINTENANCE MANUAL.

During normal stepper use and maintenance, situations will develop which require handling and
disposition of a mercury lamp. In all instances the lamp, and any components which are
contaminated by mercury, must be considered hazardous material. These hazardous materials
are to be handled with great care, and disposed on-site using the site’s approved specific Hg
lamp handling and disposal procedures that meet all appropriate national and local regulations.
Under no circumstances should potentially contaminated material be returned to the Ultratech
manufacturing facility.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 33
Safety Mercury Arc Lamp Safety

Mercury Arc Lamp Safety


The following safety information applies to super-high pressure mercury lamps used as light
source for exposure as specified by Ultratech. The lamp is a direct-current discharge lamp. The
cathode and anode are sealed and airtight at each end of the bulb. The lamp must be used for
the application as proposed by Ultratech with its designed operating conditions. The lamp must
not be used for any other purpose. Improper use of the lamp and the lamp unit can result in
quick degradation, ignition failure, breakage and injuries to personnel.

Lamp Transportation
z Always transport the lamp in the original protective case to prevent bodily injury from lamp
breakage or bursts.
z For transportation of the lamp, use the original protective case and appropriate
transportation package box.
z Use sufficient shock-absorbent material between each original protective case and
transportation package box.
z Transport the lamp gently with minimum acceleration force.
z When transporting the stepper, ensure that the lamp is removed.

Lamp Storage
z The lamp must be stored at temperature of - 40° to +60°C, humidity of 10% to 80% RH,
and at atmospheric pressure with no condensation.
z The lamp must be stored horizontally in the original protective case with the surface showing
the lamp model and number on top. Lamps stored improperly can result in lamp breakage
or bursts and may cause body injury.

Removing the Lamp from the Case


z Do not apply any force or stress to the lamp during mounting or dismounting.
z Never apply any stress, pressure, or damage to the lamp in any way. Damage to the lamp
can result in breakage or bursts.
z Wear protective mask (with neck protection), gloves and clothing when handling the lamp
to avoid bodily injuries from lamp breakage or bursts.
z Never apply any vibration or shock to the lamp as it can shorten service life or cause lamp
breakage.
z Never handle the lamp without clean protective gloves. If the lamp has been touched,
remove fingerprints with methanol or acetone using lint-free cloth. Fingerprints and grease
can result in explosion or shorten service life.
z Keep the original protection film and individual case and use it for lamp storage or disposal.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 34
Safety Mercury Arc Lamp Safety

Lamp Installation
z Do not apply any force or stress to the lamp during mounting or dismounting.
z Handle the lamp according to “Removing the Lamp from the Case” on page 34 above.
z Install the lamp with protection film, then remove the protection film after installation.
However, in case of difficulty in installing lamp with protection film, remove the protection
film just before installation.
z Turn off the power supply unit before installing the lamp to avoid electric shock.
z Mount the lamp in the correct polarity. Incorrect polarity can cause breakage or shorten the
service life.
z The lead wire connecting the lamp to the power supply must be kept as far as possible from
any surrounding metal parts to avoid electric shock and operation failure.
z Connect the lamp only after checking that there is no deterioration (corrosion, burning, or
discoloration) on the electrical connections.
z Properly mount the lamp and lead wire in the unit without applying any excessive stress or
force.

Lamp Operation
z Never look directly into an operating lamp without appropriate protection or expose
unprotected skin to the light. The UV light will cause injury to the body.
z Operate the lamp in the designated equipment and follow the instructions in the operation
manual. Do not use the lamp in any other application.
z Start the lamp only after closing the lamp housing.
z Do not operate the lamp in an atmosphere containing flammable substances.
z Do not restart the lamp for at least 10 minutes after the lamp has been turned off.
z Ensure to replace lamps that reached the end of guaranteed life to avoid possible lamp
failure.

Lamp Burst/Breakage and Mercury Disposal


z The lamp housing is configured to confine the glass fragments and mercury vapor in the
event of a lamp burst.
z In the event of a lamp burst or breakage during operation, evacuate the area immediately
to avoid any possibility of inhalation of mercury vapor. Ventilate the area for at least 30
minutes.
z After the lamp and lamp housing has cooled sufficiently, collect the mercury residue with an
appropriate adsorbing or cleaning equipment.
z If a lamp bursts when not operating, collect the mercury with an appropriate absorbing or
cleaning equipment.
z Dispose or store the mercury in accordance with the applicable local regulations.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 35
Safety Mercury Arc Lamp Safety

z If mercury vapor is inhaled accidentally, consult a doctor immediately.

Lamp Cooling
z Do not open the lamp housing for at least 10 minutes after the lamp has been turned off to
allow the lamp to depressurize.
z Do not touch the lamp until the lamp has sufficiently cooled after it has been turned off to
avoid bodily injury.
z Do not cover the lamp or place it near combustible materials to avoid fire and explosion.

Lamp Removal
z Handle the lamp according to “Removing the Lamp from the Case” on page 34.
z Turn off the power supply unit before removing the lamp to avoid electric shock.
z Allow the lamp to cool sufficiently before removing the lamp.

Lamp Inspection
z To ensure safety, replace lamps that reached the end of service life and perform the
following inspections on lamp and lamp unit:
„ The appearance of the electrical connections and metal bases for signs of deterioration.
„ The appearance of the insulators for signs of deterioration (burn, discoloration).
„ The electrical connections for loose connections.
„ The cooling system for functionality and air flow.

Lamp Disposal
z Dispose lamps in the original protective case.
z When disposing a lamp, notify the collector company that it contains mercury and high-
pressure gas sealed in its bulb.
z Dispose lamps in the appropriate manner in accordance with the applicable local regulations.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 36
Safety Electronic Rack

Electronic Rack
Most of the electronic controllers are mounted in the electronic rack, also referred to as the E-
rack. Listed in the following table are the approximate weights of some of the electronic boxes:

Table 3: Approximate Weight of the Electronic Boxes

Approximate
Item Description weight
Kg (Lbs)

Illuminator controller 40 (90)

MVS Controller 18 (40)

Distribution Controller 23 (50)

Network/Serial Distribution 7 (16)

System controller 18 (40)

Genmark Controller 25 (55)

UPS/Interlock Assembly 47 (104)

AC Power Distribution 35 (78)

Newport stage controller 11 (25)

Newport Stage driver 25 (55)

Newport Lift Pin, theta, Z1, Z2, Z3 controller 12 (26)

I/O Controller 18 (40)

CLC Controller 37 (82)

CLC Interface BOX 11 (24)

Total weight of the E-Rack (includes frame etc.) 2722 (6000)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 37
Safety Electronic Rack

WARNING
FOR SERVICING (FRU REPLACEMENT) OF THE SUBASSEMBLIES IN THE
E-RACK, ULTRATECH RECOMMENDS THE USE OF A MECHANICAL LIFT
ASSIST. SEVERAL COMMERCIALLY AVAILABLE LIFT TABLES CAN BE USED
DEPENDING ON THE ASSEMBLY TO BE REPLACED AND OTHER
MECHANICAL/SPACE CONSTRAINTS. FOR UNITS 14.25” OR MORE
ABOVE THE FLOOR, ONE CAN USE L.K. GOODWIN CO. “THE ELPH”,
MODEL DC-3, CLEANROOM COMPATIBLE LIFT-TABLE AND CART. FOR
THE UNITS AT THE BOTTOM OF THE E-RACK, THE “UNIVERSAL LIFT”
(PART # 260130), MODEL # ULP2630 COULD BE USED BUT IT MAY
REQUIRE SOME PREPARATION PRIOR TO BRINGING IT INTO THE
CLEANROOM ENVIRONMENT. IF A MECHANICAL LIFT ASSIST IS NOT
AVAILABLE, A 2-PERSON LIFT IS REQUIRED TO REMOVE AND REPLACE
THE SUBASSEMBLIES IN THE E-RACK. PLEASE REFER TO Table 3 FOR
THE APPROXIMATE WEIGHT AND LOCATION OF THE E-RACK
SUBASSEMBLIES.”

WARNING
THE E-RACK’S COMBINED TOTAL WEIGHT IS ABOUT 2722 KG (6000
LBS). TO PREVENT THE E-RACK FROM EXCESS TILT AND TIP, IT IS VERY
IMPORTANT NOT TO PULL OUT SEVERAL ELECTRONIC BOXES AT THE
SAME TIME. DURING A MOVE, THE E-RACK MUST BE ATTACHED TO THE
STAGE FRAME. E-RACK CAN TILT AND CRUSH PERSONNEL WHEN MOVED
WHILE NOT ATTACHED TO THE STAGE/FRAME.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 38
Safety Electronic Rack

Figure 18: Tip Hazard Warning Label on Front View of the E-Rack

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 39
Safety Chemicals

Chemicals
The following chemicals are used in the operation and maintenance of the Ultratech Unity
stepper.

Process Chemicals
The following sections outline all of the hazardous properties that are used during the operation
of the Ultratech Unity stepper.

Maintenance Chemicals
The following chemicals are used during maintenance activities:

Isopropyl Alcohol (IPA)


IPA is used for general cleaning of the tool. Less than 1 oz. is used to clean during each tool
cleaning which is done on an as needed basis.
IPA is a flammable chemical with a NFPA flammability hazard code of 3. In concentrated form
it is a strong oxidizer. Contact with other materials can cause fire. DO NOT use IPA in the vicinity
of open flames, sparks, electrical motors, heated surfaces, or ungrounded surfaces. IPA has a
unique odor.
Potential Health Effects:

Eye . . . Exposure causes eye irritation. Symptoms may include stinging, tearing, redness, and
swelling.

Skin . . . Exposure may cause mild skin irritation. Prolonged or repeated exposure may dry the
skin. Symptoms may include redness, burning, drying and cracking, and skin burns. Pre-
existing skin disorders may be aggravated by exposure to this material.

Ingestion . . . Single dose oral toxicity is low. Swallowing small amounts during normal
handling is not likely to cause harmful effects; swallowing large amounts may be harmful.

Inhalation . . . Breathing small amounts during normal handling is not likely to cause harmful
effects; breathing large amounts may be harmful. Symptoms associated with air
concentrations exceeding the recommended exposure limits may include irritation (nose,
throat, respiratory tract), pre-existing lung disorders (e.g. asthma-like conditions) may be
aggravated by exposure to this material, impaired coordination, confusion, central nervous
system depression (dizziness, drowsiness, weakness, fatigue, nausea, headache,
unconsciousness), low blood pressure, coma.

Acetone
Acetone is used to clean the lens and chuck. The amount of acetone used per cleaning is
dependent on the area of the surface to be cleaned.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 40
Safety Chemicals

Acetone is a clear liquid with a pungent odor. Acetone has NFPA ratings of: 1 - Health, 4 -
flammability, 2 - reactivity.
Potential Health Effects

Eye . . . Produces irritation, characterized by a burning sensation, redness, tearing,


inflammation and possible corneal injury.

Skin . . . May be absorbed through the skin. May cause skin drying and cracking.

Ingestion . . . May cause irritation of the digestive track. May cause central nervous system
depression, dizziness, drowsiness, and nausea. Advanced stages may cause respiratory
failure.

Inhalation . . . Inhalation of high concentrations may cause central nervous system effects
characterized by nausea, headache, dizziness, and unconsciousness. Causes respiratory
tract irritation. May cause motor incoordination and speech abnormalities.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 41
Safety Equipment Damage Prevention

Equipment Damage Prevention


To protect the equipment from possible damage, observe the following precautions:
z Keep the granite rock and wafer handler clear of tools and equipment at all times.
z Do not attempt to place or remove a wafer carrier while the moving mechanical mechanisms
are loading or unloading a wafer, or are about to do so.
z Do not allow liquids or foreign objects to fall on the granite rock surface or on mechanical
parts inside the machine.
z Do not process wafers on which photoresist has flowed over the edges and onto the
underside of the wafer.
z Do not process “partial” wafers (wafers having pieces broken off the edges).
z Do not attempt to move the XY stage by hand if air or nitrogen is off.
z Turn system power off when removing or replacing PC boards, connectors, electronics
boxes, or other system components.
z Use standard anti-static precautions when handling ESD-sensitive components.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 42
Safety Decontamination and Decommissioning

Decontamination and Decommissioning


If the stepper is considered a total loss, decontamination and decommissioning of electronic
components, mercury, metal frames, granite, and batteries will be followed in accordance to
local environmental regulations that may apply. It is not expected that there should be any
contamination beyond what would be adequately addressed with a system wipedown.
Components that contain materials that are likely to be regulated for disposal include:
z Lead acid battery in UPS assembly in the electronics rack
z Mercury in the fluorescent lamps
z Mercury in the illuminator lamps and MVS lamps

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 43
3050 Zanker Rd, San Jose, CA 95134

Chapter 3
Operation

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 44
Operation Introduction

Introduction
This chapter is intended to guide the user through the steps required to set up and run material
through the Unity Litho stepper. The assumption is made that the system is powered up and
functional.
Preparation for processing is composed of two steps: specifying a process program or recipe,
and supplying material (wafers).
The following pages describe the operator to equipment interface. Please take a few minutes to
familiarize yourself with this interface, by reviewing the next few pages. If you are familiar with
Microsoft Windows® and the Ultratech interface, and wish to immediately begin operation,
please skip ahead to “Jobs” on page 65.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 45
Operation System Control

System Control
The Ultratech stepper is controlled by a PC running Windows. The stepper requires three types
of information to operate: an operating program, machine variables, and a process program.
Machine variables are stored in a data file and contain information specific to the setup and
characteristics of each stepper. Process Programs are also stored in data files and contain
stepping patterns and other information that is specific to a process.

Operator Interface Station


From the operator station, the user interacts with and controls the stepper. The station includes:
z 2 Flat Panel displays (lower one is touch screen)
z Keyboard
z Trackball
z CD-RW Drive
z USB Connection

Operator Station Use


There are three ways to interface with the stepper: the touch screen, the keyboard, and the
trackball.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 46
Operation System Control

Touch Screen Interface


Figure 19: Touch Screen Main Menu

The touch screen interface is used on the main monitor, the one directly above the keyboard.
Touching any active menu item or on-screen button will cause that operation to occur, and is
the equivalent of moving the cursor to that location and clicking the left trackball button. Note
that at some times, on-screen functions will not be activated, which is indicated by the item
displaying in gray. For example, the “Message” function is inactive in Figure 19. Touching or
clicking on an inactive item will have no effect.

Trackball Interface
Trackballs are nearly identical in operation to a mouse. To move the cursor, roll the ball. To left
click, which will perform a selection, press the button on the left side of the ball. To right click,
which will sometimes access an alternate menu, press the button on the right side of the ball.
Double-clicking, the normal Windows highlighting and execution function, is done by clicking
the left button twice, in rapid succession. Note that the cursor may be moved up into the upper
monitor, using the ball.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 47
Operation System Control

Keyboard
The keyboard is the secondary (the touch screen monitor is the primary) input link to the
stepper. The keyboard used is a standard Windows keyboard, similar to most PC compatible
keyboards. There are three illuminated indicators on the keyboard, Num Lock, Caps Lock, and
Scroll Lock. The keyboard is divided into three groups of keys:
z Function keyboard
z Regular typewriter keys
z Combination numeric/cursor keyboard.

Figure 20: Keyboard Console

Num Lock Mode


Num Lock mode is toggled on and off by pressing the Num Lock Key. When in Num Lock mode
(indicator illuminated), pressing one of the numeric keys on the numeric keypad will type that
number. If not in Num Lock mode (indicator extinguished), pressing the numeric keys on the
numeric keypad will move the cursor.

Caps Lock Mode


When activated (indicator illuminated) with the Caps Lock key, it sets the alpha characters of
the keyboard to upper case. Only the alphabetical keys are affected. When in the Caps Lock
mode, the Shift key has the opposite effect upon the alpha keys. For example, pressing Shift-
S in the normal mode gives the character “S”. Pressing Shift-S in the Caps Lock mode gives the
character “s”.

Scroll Lock Mode


This function, toggled on and off with the Scroll Lock key, is not implemented in the Windows
environment.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 48
Operation System Control

Function Keys
The twelve function keys, labeled F1 through F12, can be used to activate the functions on the
function menus. The Shift key is used with these keys to access an additional twelve functions.
For example, to access the setup menu, you could press Shift and F6 concurrently from the main
menu. Note that F11 and F12 are not defined.

Data Entry Fields


Data entry fields are used when it is most efficient for the user to type in a desired value. Several
data entry fields are often grouped together in a window, as shown in Figure 21.

Figure 21: Short Step Focus Data Entry Fields

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 49
Operation System Control

Tab Between Fields


There are several ways to navigate between and within data entry fields. One method to
navigate between multiple data entry fields is to use the keyboard’s Tab key. The Tab key, when
pressed, selects fields (and buttons) in a given order. If the user wants to back up to a previous
field, then hold down the Shift key while pressing the Tab key. An example of one Tab key press,
relative to Figure 21, is shown in Figure 22.

Figure 22: Tab Key Pressed Once

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 50
Operation System Control

Insertion Mode
Using the trackball to navigate through the fields is also possible, and provides some flexibility
not possible with the Tab key. The Tab key automatically highlights the contents of the field. Any
value highlighted will be replaced by the value typed. For example, in Figure 23 the exposure
value of 200 will be replaced by a new typed value.

Figure 23: Replacing the Exposure Energy Value

When the trackball is used to move the cursor into a data entry field, the cursor will change
from an arrow to a vertical I-beam, as can be seen to the right of the 200 in Figure 23. If the
left trackball button is pressed, the cursor changes to a flashing vertical line, seen in Figure 24.
Notice that the value is not highlighted. This indicates insertion mode. Any value typed now will
be appended to the end of the 200 value. For example, if 0 is typed, the exposure energy would
be changed to 2000 mJ. If insertion mode is active, and it is desired to replace characters, then

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 51
Operation System Control

use the Backspace key on the keyboard to delete the character to the left of the bar, and the
Delete key to delete the character to the right of the bar. The insertion mode will also be selected
by pressing directly in the field with the touch screen.

Figure 24: Insertion Cursor

Selecting Part of a Field


If it is desired to select a character or group of characters within a field, but not the entire field,
then either press directly into the field with the touch screen, and while still touching, swipe
across the character(s) to be selected. This may also be accomplished with the trackball, by

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 52
Operation System Control

moving the cursor into the field, press and hold the left trackball button down, and roll the ball
left or right. An example of one character selected with this method is shown in Figure 25. Any
character typed at this point will replace the 2.

Figure 25: One Character Selected

Selecting One Word


Some data entry fields can contain long strings of characters. When any group of characters
within the field is separated by spaces, it is considered a word. An individual word can be
selected by moving the cursor into the word, either with the touch screen or trackball, and then
double-clicking the left trackball button. An example of a word selection is shown in Figure 26.

Figure 26: Selection of One Word

If the field contains a string of characters containing no spaces, then double-clicking selects the
entire field, even though there may appear to be multiple words. An example is shown in
Figure 27.

Figure 27: No Spaces to Separate Words

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 53
Operation Navigating Through the Screens

Navigating Through the Screens


The user will find that one of the three interfaces has an advantage over the others in certain
situations: the touch screen is fast; the trackball’s resolution is very good, making it the
preferred choice when dealing with small data fields or lists; and the keyboard can be used for
menu shortcuts or for “Tabbing” between numerous entry fields. Any time data entry is required,
such as when entering a value, the keyboard must be used.

Making Selections
There are four ways that choices are made, each is explained below.

Selection Buttons
Selection buttons, for example are selected by pressing on them directly through
the touch screen, or by moving the cursor onto them with the trackball and then clicking the
left trackball button once.

Drop‐Down Lists
Drop-down lists provide a means of selecting items from a hidden list. Before selection, drop-
down lists display only one choice, with a button to the right side containing a small arrow, as
seen in Figure 28.

Figure 28: Unopened Drop-Down List

When the drop-down list is opened, either by pressing on the arrow button, or by moving the
cursor onto the arrow button with the trackball and then clicking the left trackball button once,
a list of additional choices appears. See Figure 29 for an example.

Figure 29: Opened Drop-Down List

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 54
Operation Navigating Through the Screens

One of the items in the drop-down list may be chosen by pressing directly upon it, or by moving
the cursor onto the choice with the trackball and then clicking the left trackball button once.

Trees
Trees are lists with expandable branches, similar to the type found in Windows Explorer. Each
branch may be expanded or retracted by clicking on the + or - sign at the left side of the branch.
A + indicates that the branch can be expanded (it is currently retracted). A - indicates that the
branch is expanded, and may be retracted. An example of retracted branches is shown in
Figure 30, while Figure 31 shows the branches expanded.

Figure 30: Unexpanded Tree

Scroll Bars
Scroll bars appear when more choices are available than will fit in the space provided. They are
indicated by a vertical bar, of varying length and position, located between up and down arrow
buttons. An example is shown in Figure 31.

Figure 31: Expanded Tree with Scroll Bar

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 55
Operation Navigating Through the Screens

There are several ways to navigate through a scroll bar list. One method is to use the up and
down arrow buttons above and below the scroll bar. This will move the list up or down one line
at a time. An example of a one-line scroll is shown in Figure 32.

Figure 32: Scroll Bar Moved One Line Down

To move the list one page at a time, press in the space between the scroll bar and the arrow
buttons, or use the trackball to move the cursor within the space between the scroll bar and the
arrow buttons, and then press the left trackball button once. An example of a one-page scroll
is shown in Figure 33.

Figure 33: Scroll Bar Moved One Page Down

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 56
Operation Navigating Through the Screens

To randomly scroll through the list, press and hold on the scroll bar and then slide it up and
down, or use the trackball to move the cursor onto the scroll bar, press and hold the left trackball
button down, and roll the ball up and down. An example of a random scroll is shown in
Figure 34.

Figure 34: Randomly Scrolling Through The List

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 57
Operation Operation Modes

Operation Modes
The AP200/300 can be operated in several modes, including:
z Online Mode
„ Remote Mode
„ Local Mode
z Offline Mode

GEM Screen
The GEM button, from the main menu, is used to change the mode of operation. The GEM
screen is shown in Figure 35.

Figure 35: GEM Screen


Provides Used to set communication default
current state state when system boots up.

Enable/Disable buttons are


This area also displays
used to change the state.
current state
Enable lets the host communicate
with the equipment
Disable prevents the host from
communicating with the equipment.
Equipment will not see any host commands.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 58
Operation Operation Modes

A) The Go Remote button is used to set equipment to Remote Mode. The equipment will be
under Host control.
B) The Go Local button is used to set equipment to Local Mode. In this mode, the equipment
will not be operated by the host, but events will be sent to the host for status monitoring.
C) The Go Online button is used to set equipment to Online Mode. While online, the equipment
can be in Local Mode or Remote Mode.
D) The Go Offline button is used to set equipment to Offline Mode. While offline, the
equipment will ignore all Host commands and will not send any messages to the host.

GEM Buttons Locked Out During Wafer Processing


A setting, Disable GEM Buttons in Job (shown in Figure 36), is available that prohibits
changing any communication-related settings between the equipment and the host on the GEM
screen during job processing.

Figure 36: GEM/SECS Setting: Disable GEM Buttons in Job

The Disable GEM Buttons in Job setting can be accessed by going to Setup Menu > Setup
Tools > Material Handler Tools > Loader Setup > SECS/HSMS tab. By default, this
setting is not enabled. To enable it, you must first click the Edit button (on the far right side of
the screen).
After checking the Disable GEM Buttons in Job setting check box, you must then click the Save
button (on the far right side of the screen) for the changes to take effect.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 59
Operation Operation Modes

When the Disable GEM Buttons in Job setting is checked, the following functions typically
available by default will not be available on the GEM tab of the GEM screen (shown in Figure 37)
during wafer processing:
z Communication State Enable/Disable Settings
z Go Remote and Go Local Buttons
z Go Online and Go Offline Buttons

Figure 37: GEM Screen

When a job is not running, these buttons are active according to the E30 state model. The User
Terminal and the ability to start the SECS monitor are not affected by this setting.
Also, the ability for the host to change the control state and communication state is unaffected
and will still be enabled at all times.
You can access the GEM screen by pressing the GEM button in the Main Menu:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 60
Operation Operation Modes

Disabling communication-related settings between the equipment and the host can also be done
via an equipment constant ECID=930, Equipment_DisableGEMButtonsInJob. The
following applies:

When Equipment_DisableGEMButtonsInJob = 0: . . . GEM buttons are enabled during


job.

When Equipment_DisableGEMButtonsInJob = 1: . . . GEM buttons are disabled during


job.

Setup Menu Button


The Setup Menu button is enabled only when the equipment is offline or when online in local
mode. This ensures that the equipment is safe to operate and cannot receive commands from
a remote source.
If the equipment normally communicates with a host computer, to use the Setup Menu, the user
will need to either take the equipment offline or to stay online but switch from remote to local
mode. This will allow the user to operate the equipment without interference from the host
computer.
If the equipment is not normally connected to a host computer, the Communication State
System Default setting should be set to Disabled. This action will then cause the Setup Menu
button to always be enabled each time the equipment is initialized without the user needing to
take special action each time.
The following procedures describe how to enable the Setup Menu button either temporarily or
by default:
z Temporarily Enabling the Setup Menu
z Enabling the Setup Menu as Default Condition

Temporarily Enabling the Setup Menu


This procedure describes how to temporarily enable the Setup Menu button.

NOTE . . .
IF THE EQUIPMENT IS NORMALLY CONNECTED TO A HOST COMPUTER, THIS ACTION WILL TEMPORARILY STOP
COMMUNICATION WITH THE HOST.

1. From the Main Menu, click GEM:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 61
Operation Operation Modes

The GEM screen appears, with the GEM tab selected by default:

2. Do one of the following, depending on which mode your equipment is in:


2.1 If in remote mode, click the Go Local button (on the far right side):

~ or ~
2.2 If the setup menu still does not appear, click the Go Offline button (on the far right
side):

3. Click Done to return to the Main Menu:

The Setup Menu button is now active.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 62
Operation Operation Modes

Enabling the Setup Menu as Default Condition


This procedure describes how to set the default communication state of the equipment as
disabled, which enables the Setup Menu button by default. This should be set as the default
condition only if the equipment is not normally connected to a host computer.
1. From the Main Menu, click GEM:
The GEM screen appears, with the GEM tab selected by default:

2. Select the Disabled radio button in the Communication State System Default box:

3. Click the Disable button to disable the communication state without restarting the
equipment:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 63
Operation Operation Modes

4. Click Done:

The Setup Menu button is now active and will be active as the default state.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 64
Operation Jobs

Jobs
Jobs may be created at any time, with the system idle or while processing wafers.
Jobs are composed of two components: a process program, or recipe; and material to process.
Process programs contain information about the wafer and reticle; including size, number and
location of images, step size, desired reticle and field, eye fix, and location of EGA sites. Process
programs are generated using Ultratech’s Atlas program and are stored on either the system’s
hard drive or the network.
Process programs may also be edited on the system controller, using the Process Program Editor
on the Variables menu, depending upon the user’s access level.

Job Creation
The process for creating jobs is detailed in the following steps. This section assumes that
process programs have been defined and are available on the system. At any point after
selecting job creation, you may use the “Clear Form” button to start over, or you may exit the
process using “Done.”
1. From the Main menu, access the Jobs menu.
Press: Jobs . . . F1 using keyboard

Figure 38: Select Jobs

Press: Job Creation View . . . from the jobs menu (shown in Figure 39).

Figure 39: Job Creation Button

Step 1 ‐ Select Process Program


Prompt: “Step 1: Select Process Program”
Press: Browse > . . . to bring up the directory tree, as shown in Figure 40.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 65
Operation Jobs

NOTE . . .
THE DEFAULT PROCESS PROGRAM DIRECTORY CAN BE SPECIFIED IN THE REGISTRY.

Do: Press the Drive button to select the drive that contains the process program
files to be used. Navigate to the folder containing the files. When a folder is
opened that contains process program files, they will be listed in the “Select
File” area.

Figure 40: Select Process Program

Drive Button

Open Folder Button

Selects first file in list


Selects previous file in list
Selects next file in list
Selects last file in list

NOTE . . .
AT THIS POINT, THERE ARE TWO WAYS TO PROCEED. 1) IF THE FILE IS HIGHLIGHTED, AS SHOWN IN Figure 40,
PRESS THE “< SELECT” BUTTON, OR 2) DOUBLE-CLICK THE FILE TO SELECT IT.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 66
Operation Jobs

Step 2 ‐ Select Material


Prompt: “Step 2: Select Material”, as shown in Figure 41.

Figure 41: Select Material

Select: Loadport 1 or Loadport 2 (or Track System) . . . by clicking on the


check boxes, or by touching on the labels.
Press: Select > . . . for one of the selected loadports.

NOTE . . .
THE TRACK SYSTEM OPTION DOES NOT ALLOW THE SELECTION OF INDIVIDUAL WAFERS, SO IF THIS OPTION IS
CHOSEN, THE NEXT STEP WILL BE “Step 3 - Enter Lot ID and Create Process Job”

Press: Select Current Carrier . . . to select the carrier that is currently on this
loadport.
~ or ~
Do: Using the trackball, move the cursor into the “Carrier ID” field at the top
center of the screen. Double-click to highlight the field.
Type: Desired carrier ID . . . into the field

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 67
Operation Jobs

NOTE . . .
CARRIER ID’S CAN BE ASSIGNED BY THE HOST COMPUTER, OR CAN BE SELF-ASSIGNED THROUGH THE CARRIER’S
ID MODULE, OR ARE AUTO-GENERATED IN SEQUENCE BY THE STEPPER’S SYSTEM COMPUTER. CONSULT YOUR
PROCESS ENGINEER FOR MORE INFORMATION.

The screen displayed (see Figure 42) will allow the selection of material by two methods: either
press the “Select All Slots” button, or select individual slots (substrates) by clicking or pressing
within the green area. Slot selection is indicated by a red arrow appearing in the slot number
column.

Figure 42: Selecting Substrates

NOTE . . .
IF A SELECTED SLOT IS CLICKED ON OR TOUCHED AGAIN, IT IS DE-SELECTED. TO DE-SELECT ALL SLOTS, USE
THE “UNSELECT ALL SLOTS” BUTTON.

Press: < Done . . . to return to the previous menu after selecting substrates for this
load port.
Do: Repeat the above process for the other load port, if needed.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 68
Operation Jobs

Selecting a Reticle
If the process program does not identify the reticle to be used, the user will have to either type
in a reticle ID or select a reticle manually from the library or from the reticle stage by pressing
the Browse button, as shown below:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 69
Operation Jobs

If the process program identifies a reticle, the “Use Reticle ID in Process Program” check box
will be checked, as shown below:

NOTE . . .
THE USER HAS THE OPTION TO UN-CHECK THE “USE RETICLE ID IN PROCESS PROGRAM” BOX AND SELECT A
RETICLE AS DESCRIBED ABOVE.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 70
Operation Jobs

Step 3 ‐ Enter Lot ID and Create Process Job


Prompt: “Step 3: Enter Lot ID and Create Process Job”, as shown in Figure 43.

Figure 43: Create Process Job

NOTE . . .
ENTRY OF A LOT ID IS OPTIONAL AT THIS POINT IN CREATING A JOB. IF A LOT ID IS NOT REQUIRED, LEAVE
THE FIELD BLANK.

Do: Type in the lot ID name inside the white box under ‘Lot ID:’.
~ or ~
Do: Leave the Lot ID field blank.
Press: Create . . . creates the process job.

NOTE . . .
THE SOFTWARE WILL AUTOMATICALLY CHECK TO SEE IF SYSTEM IS IN A STATE TO PROCESS WAFERS.

Creating a process job will put the job in the queue, but will not begin processing until a control
job is created.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 71
Operation Jobs

At this point, you may either create another process job beginning again at “Step 1 - Select
Process Program” on page 65, or you may create a control job, as detailed in “Step 4 - Create
Control Job” on page 72.

Step 4 ‐ Create Control Job


As soon as a process job is created, the option to create a control job appears on screen, as
shown in Figure 44. (This does not show up for Track jobs.)

Figure 44: Create Control Job Option

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 72
Operation Jobs

A control job is a group of one or more process jobs. As an example, Figure 45 shows a control
job containing four process jobs.

Figure 45: Control Job Containing 4 Process Jobs

Control jobs are started according to their order in the control job queue.
Normally, as soon as material is detected for any of the process jobs within a control job, that
process job starts. Optionally, the control job properties may be set so that a manual start,
through the job manager function, may be necessary to start a job. Refer to “Interlock Key
Safety Feature” on page 73 and “Job Options” on page 80.

Interlock Key Safety Feature


A safety feature is included in the software that prevents an operator from creating a job without
the interlocks enabled. To create a job, the interlock keyswitch must be in the ON position, thus
enabling interlocks, for safety purposes. If the interlock keyswitch is in the OFF position, thus
overriding (disabling) the interlocks, the job cannot be created, and the following message will
be sent:
“The interlock keyswitch is set to the OFF position, thus disabling interlocks. Please enable
interlocks before attempting to create a Process Job.”

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 73
Operation Jobs

Display and Verify Process Job Data


A software option is now available for purchase that enables the user to view additional Process
Job (PJ) data on the Main screen when in Local mode before starting a Process Job. Figure 46
shows the standard display and Figure 47 shows the optional display. Displaying this data
minimizes the chances of device misprocessing during production.

Figure 46: Standard Display

Figure 47: Optional Display

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 74
Operation Jobs

New PJ Data Displayed


After this new feature is purchased, installed, and enabled, the new Process Job data displayed
on the Main screen consists of the following:
z Focus Offset for Exposure

Because Focus Offset for Exposure data can now be displayed on the Main screen, operators
no longer need to check the focus offset in the Process Program data before executing the
job.
z Focus Offset for Alignment

Because Focus Offset for Alignment data can now be displayed on the Main screen,
operators no longer need to check the focus offset in the Process Program data before
executing the job.
z Simulated Exposure Energy

If in simulation mode, the term “Sim.” appears on the Exposure Energy line of the Main
screen.
z Runmode Type

The Runmode Type line will appear containing one of the following alignment types:
„ MVS
„ EGA
„ Blind
„ Site-by-Site

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 75
Operation Jobs

Verify Data Button


As part of this new feature, a new button called Verify Data has been added to the Jobs Menu
screen, as shown in Figure 48:

Figure 48: Optional Jobs Menu

If this new software option is installed and enabled, this button will appear, which enables the
user to verify the Process Job data prior to starting a job. The button becomes active after a
Process Program is selected.

Verifying Process Program Data


The following procedure describes how to verify the data of the selected Process Program (PP)
before running the job.
1. Begin the job creation process and verify PJ data by doing the following steps:
1.1 Click the Job button in the Main Menu:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 76
Operation Jobs

The Jobs Menu screen appears:

Note that the Verify Data button is not active yet.


1.2 Click the Browse button to access Process Program files.
The right pane displays local drive files.
1.3 Navigate to where the Process Program files are stored, and select the PP that you want
to run.
1.4 Click the Select button:

The selected PP is displayed in the Step 1 field, and the Verify Data button is enabled:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 77
Operation Jobs

1.5 Click the Verify Data button to check that the data in the selected PP is appropriate for
running the job:

The data is read from the currently selected Process Program, and the following screen
appears that displays the data in the selected Process Program:

The Verify Data screen is for information only, and data cannot be editable from this
screen.
1.6 Click OK to close the Verify Data screen.
2. Do one of the following:
2.1 If the data is correct, continue with the job creation process as usual.
~ or ~
2.2 If the data is not correct, select another PP and verify that data by performing Step 1.2
through Step 1.6.
To obtain this software option, contact Ultratech customer service.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 78
Operation Job Manager

Job Manager
Pressing the “Job Manager” button brings up a screen with multiple options, as shown in
Figure 49.

Figure 49: Job Manager Screen

Control jobs in the queue

Process jobs in the queue

Material for current job

Material being waited for

The buttons on the right side of the screen function as described below:

Stop All . . . All jobs in progress will be stopped and removed from the queue. See the definition
of stop below.

Abort All . . . All jobs, process and control, will be aborted and removed from the queue. See
the definition of abort below.

Start . . . The job (control or process) that is highlighted will be started, providing that material
is available and the job is in the waiting for start state, after affirming the start.

Pause . . . If the control job is highlighted, this will pause the activation of the next process
job. The current process job will continue to execute until finished. If a process job is
highlighted and is actively processing, a pause will occur when the current wafer has finished
processing. The wafer will remain on the chuck.

Resume . . . If a process job has been paused, resume will continue processing that job.

Cancel . . . Removes the job from the queue. Only jobs in the queued state can be cancelled.
Cancel has no effect on an activated job.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 79
Operation Job Manager

Stop . . . The selected control job will be stopped. In a stopped job, any wafers that have been
removed from a carrier will be processed and returned to the carrier. No more wafers will be
retrieved from the carrier. The stopped job, including all of its process jobs, will be removed
from the queue and the next control job will be started.

Abort . . . The selected control or process job will be aborted. In an abort, the wafer on the
chuck will finish processing, then all wafers that have been removed from a carrier will be
returned to the carrier. The job will be removed from the queue. If a control job is aborted,
all of its process jobs will be aborted.

Deselect . . . Only applies to a highlighted control job that is selected for processing, but has
not yet started. The highlighted control job will be de-selected, or moved one position down
in the queue and prevented from starting. There is no way to process a de-selected job, it
must be aborted to be removed from the queue.

HOQ . . . Head of Queue. The currently selected job will be moved to the top or head of the
queue.

Job Options
Figure 50: Job Options Screen

Change Slot Processing Order


The default order of substrate processing is slot 1 to 25, which is the bottom slot first, top slot
last. This order can be reversed with the “25 to 1” button.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 80
Operation Job Manager

Move Selected Slot Order


This option allows the sequence of slots to be changed into any order desired. Select a slot and
then move its order up or down with the on-screen buttons. Figure 51 gives an example of slot
10 being processed first, followed by the rest of the slots in normal order.

Figure 51: Slot 10 Processed First

Control Job Start Method


The default method is to automatically start a control job as soon as the previous control job is
completed. If the “Manual” option is selected, then the control job must be started manually,
through the job manager function.

Process Job Start Order


The default (Material Arrival) method is to start a process job when the identified material
arrives, regardless of the job’s order in the queue. If the “Explicitly Defined” option is selected,
then process jobs start in the order they were created in, regardless of the order of material
arrival.
Control jobs are always started sequentially, according to the order in the queue. The order can
be changed using the “HOQ” button in Job Manager. Refer to “HOQ” on page 80.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 81
Operation Job Manager

Wafer Flow between Control Jobs


Previously, continuous wafer flow existed between multiple Process Jobs (PJ) within a Control
Job (CJ). But between Control Jobs, there were wafer handler delays because the first wafer of
a subsequent CJ was not started until the last wafer of the current CJ had been returned to the
carrier. This caused a delay in loading the first wafer of the subsequent CJ into prealigner,
preheat, and process stations.
Enhancements now allow for continuous wafer flow between Control Jobs as well as between
Process Jobs. Now, the wafer flow between CJs is handled in the same way as between PJs,
with wafers loaded into the tool between Control Jobs without delays. This increases throughput
by more than one wafer per hour.
Wafers now will begin flowing into the tool after a carrier for a subsequent Control Job is placed,
regardless of whether the current CJ has completed and whether the last wafer has been
returned to its carrier. When the carrier is no longer in use, it is automatically closed. The
following applies:

Local Mode . . . The carrier is closed when no other Process Job in the current Control Job
needs wafers from it.

Remote Mode . . . The carrier can be left open after a CJ is completed if other CJs specify
that wafers will be used from it. This would be indicated in the CJ by putting the ID of
the carrier in the job-creation attribute “CarrierInputSpec.”
If the carrier for a subsequent CJ arrives before the last wafer from the current CJ is removed
from its carrier, the wafer flow will be continuous. If the carrier for a subsequent CJ arrives after
the last wafer from the current CJ is removed from its carrier, wafers on the stations will be
advanced before the first wafer for the subsequent CJ is moved from the cassette to the
prealigner.

Enable Job Cycling


This feature is used for “marathon” tests, where the same wafers are to be cycled under one
job multiple times. Before creating and starting the job, select the Jobs > Job Options View
menu, enable the cycle by checking the “Enable Job Cycling” check box, and select the
parameters. For example, if you wanted to cycle 1000 wafers without opening and closing the
loadport and the loadport contained 25 wafers: ensure the “Close / Open Carriers” check box is
cleared and select 40 job cycles using the “Cycles” entry field (1000 wafers at 25 wafers per
carrier).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 82
Operation Job Manager

The user would then load the material and create/start the job as normal. The cycle count and
status are recorded in the print monitor. “Enable Job Cycling” is defaulted to off, so future jobs
will operate normally until the user chooses to enable the feature next time they create a job.

NOTE . . .
THESE SETTINGS ARE NOT PERSISTENT. THEY ONLY APPLY TO THE FIRST CONTROL JOB CREATED AFTER THESE
SETTINGS ARE SET. ONCE THE JOB STARTS CYCLING, ALL OF THESE SETTINGS ARE RESET. YOU NEED TO SET
THESE SETTINGS EVERY TIME YOU WANT TO CYCLE JOBS.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 83
Operation Start Job

Start Job
Normally, control jobs will start themselves, as soon as their material arrives. Optionally, the
control job properties may be set so that a manual start, through the job manager function, may
be necessary to start a job. Refer to “Interlock Key Safety Feature” on page 73 and “Job
Options” on page 80.

Job Running Menu


Figure 52: Job Running Menu

The job running menu is automatically displayed when a job is running, in place of the main
menu. An exception to this is when a job automatically starts after creating a control job, and
the user is still in the “Create Job” function. In this case, pressing “Done” will display the job
running menu. Several options for monitoring and tracking are accessible from this menu, as
described below.

Jobs
Refer to “Jobs” on page 65.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 84
Operation Start Job

Material Handling View


This button brings up the material handling screen, as shown in Figure 53 for FOUP systems
and Figure 54 for FOSB systems.

Figure 53: Material Handling Screen for FOUP

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 85
Operation Start Job

Figure 54: FOSB Material Handling Screen

At the top of this screen are tabs for each load port and the reticle library. The upper right corner
of the screen gives the status of the selected load port.
Just below the load port selection tabs are buttons for “Transfer State”. To take a load port out
of service, such as for maintenance, press “Cancel” under “Carrier Operations,” and then press
“Out of Service”.
Further down are buttons for “Reservation State”. The reservation is a state model in SEMI E87.
When a loadport is reserved, by either host or operator, the access mode can not be changed.
This means that the loadport is expecting a carrier to come. It does not know which carrier, only
that a carrier will come using the currently set access mode. If an operator pushes the “Reserve”
button on the Material Handling screen, with the access mode “Manual”, the host cannot send
the overhead track or the robot over to deliver a carrier because it is locked in the manual deliver
mode. “Cancel” will release the reservation. The host can then change the access mode to
“Automatic” for auto delivery.
“Access Mode” buttons are used to enable or disable the E84 interface. “Automatic” enables the
E84 interface, allowing automatic delivery of wafers by the fab’s wafer delivery system.
“Manual” disables the E84 interface, requiring manual delivery of wafers by an operator.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 86
Operation Start Job

Changing Wafer Size With FOUP Option


With the FOUP configuration, the material size and FOUP insert options are available. If “300
mm” is selected as the wafer size, as shown in Figure 53, the “FOUP Insert Type” option does
not apply. For 200 mm wafers, there are two options. AM3000 inserts have the same pitch as a
standard 300 mm FOUP pod, 10 mm. AM3004 inserts have a 6.35 mm pitch, which is standard
for 200 mm carriers.
To choose the insert type:
1. Remove the carrier from the load port (use the “Carrier Operations” Cancel button if
necessary).
2. Click the “Material Size” drop-down arrow and select the correct wafer size, 200 or 300 mm.
3. If 200 mm was chosen as the wafer size, choose the type of insert “AM3000 (10 mm pitch)”
or “AM3004 (6.35 mm pitch)” by clicking on the appropriate button.
4. Place a carrier (with the specified insert for 200 mm wafers) on the load port.

CAUTION
THERE IS NO MECHANICAL WAY TO DETECT THE INSERT TYPE. PHYSICAL DAMAGE
TO THE ROBOT, CARRIER, AND WAFERS COULD RESULT IF THE SELECTED WAFER
SIZE OR INSERT TYPE IS NOT WHAT IS PHYSICALLY PRESENT IN THE CARRIER.

Wafer Size With FOSB Option


With the FOSB configuration, the material size detection is automatic. When a carrier is placed
on the platform or adapter, it activates sensors that report the carrier size.

Platform Orientation
The FOSB material handling screen has an option to tilt or level the loadport platform. Use the
“Tilt” button to tilt the platform prior to loading or unloading a 300 mm carrier. The 200 mm and
150 mm carriers should be loaded or unloaded with the platform level (Up), as there is no back
support for these carriers. The 200 mm and 150 mm carriers could tip over and break wafers if
they are loaded or unloaded with the platform tilted. Refer to Figure 54.

Carrier Operations
“Cancel” button closes the inner door of the loadport, and moves the carrier out, ready to be
removed, in the FOUP configuration.
“Recreate” button moves the carrier in and opens the inner door of the loadport, ready for the
robot to fetch the wafers, in the FOUP configuration.
To recycle a load port, which is useful if an error occurred before any wafers from this port were
processed, press “Cancel” under “Carrier Operations”, and then press “Recreate”.
To allow the loading and unloading of reticles from the reticle library, press the “Reticle Library”
tab and then the “Cancel” button. After the reticles are placed in the library and the door is
closed, the library will be “Recreated” automatically.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 87
Operation Start Job

CAUTION
DO NOT RECREATE A CARRIER IF ANY OF THE WAFERS FROM THE CARRIER HAVE
BEEN PROCESSED. DOING SO COULD RESULT IN DOUBLE-PROCESSED WAFERS.

Carriers Tab
Pressing this tab brings up the pane shown in Figure 55. This tab allows the user to:
„ Create a new carrier ID using ‘Carrier Notification’ group
„ Delete an existing carrier ID definition
„ Bind (Associate) a carrier to a load port
„ Cancel the bind
„ Browse the list of available carrier objects
The above operations are related to the E87 functionality and have been made available in local
mode.

Figure 55: Carriers Tab

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 88
Operation Start Job

NOTE . . .
CARRIER NOTIFICATION AND CARRIER BINDING ARE TWO SEPARATE FUNCTIONS. MULTIPLE CARRIERS CAN BE
ENTERED BY USING EITHER CARRIER NOTIFICATION OR CARRIER BINDING. THE CARRIER NOTIFICATION CAN BE
SET OR CLEARED, OR THE CARRIER PORT BINDING CAN BE SET OR CANCELED FOR A CARRIER, BUT BOTH
NOTIFICATION AND BINDING CANNOT BE DONE ON THE SAME CARRIER. WHEN EITHER OF THE TWO ACTIONS IS
DONE, THE CARRIER ID WILL APPEAR IN THE CARRIER ID WINDOW. AT THAT TIME, THE CARRIER CAN BE
SELECTED AND THE CONTENT MAP WILL BECOME ACTIVE AND CAN BE EDITED.

Carrier Notification . . . Carrier Notification group functions are intended to provide a user
interface to the E87 services normally requested by the host computer:

Create . . . The Create button invokes “Carrier Notify” service with the currently selected
Carrier ID. The Carrier Notify service defines a new carrier and because of this the
entered Carrier ID should be unique. The newly created carrier will be added to the list
on the right.

Delete . . . The Delete button invokes the Cancel Carrier Notification service. It will delete
the selected Carrier object. This service will be rejected if the selected carrier is already
associated with a load port.

Carrier Binding . . . The Carrier Binding group box has the same functionality of the Carrier
Notification but for the Bind and Cancel Bind services.

Bind . . . The Bind button invokes the Bind service which is similar to Carrier Notify because
it also creates a new carrier. The difference is that it also associates the carrier to the
selected load port and sets the load port to Reserved state. If the selected carrier is an
existing non-associated carrier then the Bind service will only create the association. If
the selected load port is already reserved the service request will be rejected.

Cancel Bind . . . The Cancel Bind button breaks the carrier to load port association. The
selected load port must be associated to the selected carrier to start with.

Carrier ID drop-down list . . . In both the Carrier Notification and Carrier Binding areas,
there is a drop-down list of the available carrier IDs. This enables the operator to select
an existing carrier or enter a new one. To enter a new carrier ID, just type the ID in the
blank drop-down field. The Carrier ID selected is used for the requested services.

Carrier ID Window . . . At the upper right corner of the screen is the Carrier ID window, which
displays the list of carriers. As carriers are added by using either Carrier Notify or Carrier
Binding, this list will grow. This allows the operator to browse the existing carrier objects.
The Port ID column indicates if the carrier is associated with a particular load port.

Content Map . . . Located below the Carrier ID window, it displays the Content Map attributes
of the carrier selected above. If the selected carrier is not loaded, then the Content Map
control can be used to edit the lot IDs and the substrate IDs. The Carrier ID drop-down lists
let the operator select an existing carrier or enter a new one. Once a carrier is selected, the
Content Map attribute is used as a source for IDs for the creation of the substrate objects.
The screen allows modification of the carrier attribute content. In order to edit a cell from

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 89
Operation Start Job

the grid the operator has to click twice within it to get the blinking vertical cursor. The editing
is disabled once the carrier is loaded – from this point on the content map is synchronized
with the physical presence of the substrates and their IDs.

Job Monitor View


This button brings up the Job Monitor pane, as shown in Figure 56. The current status of the
process and control jobs are indicated.

Figure 56: Job Monitor Pane

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 90
Operation Start Job

Reticle Load View


This displays the reticle load results as shown in Figure 57.

Figure 57: Reticle Load Results

GEM View
Refer to “GEM Screen” on page 58.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 91
Operation Start Job

Wafer View
This button will display the wafer graphic on the right side panel as shown in Figure 58. In this
view, a blue step indicates an image that has not yet been exposed, a magenta step indicates
an EGA site that has been aligned, a gray step indicates an image that has been exposed, and
a yellow step indicates an image that is being processed.

Figure 58: Wafer View

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 92
Operation Start Job

Substrate Tracking View


This button brings up the substrate tracking pane, as shown in Figure 59. This pane indicates
the current locations of the substrates within the system, indicating wafer presence in the
cassette slots, endeffectors, prealigner and process module.

Figure 59: Substrate Tracking Pane

Job Analysis View


This displays an advanced diagnostic screen.

Manual Assist
This button suspends the alignment operation and enters Manual Assist. Refer to “Manual
Assist” on page 143.

Halt Process
Refer to “Halt Process” on page 95.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 93
Operation Start Job

ABORT
Refer to “ABORT” on page 95.

Message
Refer to “Message (F9)” on page 122.

Alarms
Refer to “Alarms” on page 141.

Print Monitor
Refer to “Print Monitor (Shift-F10)” on page 123.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 94
Operation Terminating Jobs

Terminating Jobs
There are several ways, with several possible results, to end a job before it starts or after it is
started. The various methods, and the consequences of each, are detailed in the following
sections.

ABORT
ABORT, available from all menus, is a software version of pressing the EMO button. All
operations cease immediately, and the wafer under process is rejected. The current control job
and all of its process jobs will be removed from the queue. The alarm screen will display the
message “User Selected Abort. Stop everything!” When the system is re-initialized, all wafers
will be returned to their carriers and the status of processed or unprocessed wafers will be
displayed.
In ECS releases before 4.2, wafers marked as aborted and put back into the carrier during
initialization could be processed because the carrier was not closed at the end of initialization.
(The carrier is closed during the initialization process, but in this case before aborted wafers
were put back.) Thus, the next job could select the aborted wafers to run.
In ECS releases ≥ 4.2, after an aborted job, when a processed wafer and aborted wafer are
included to a newly created job, a prompt comes up that says the following:
“You have selected at least one wafer for processing that has been aborted. Are you sure you
want to process these wafers?”
The user has the option of whether to run both the processed wafer and aborted wafer.

Halt Process
This key, available from all menus, is also known as a hard break.
When a job is in process, pressing this key halts the processing of the wafer on the chuck and
then displays the recovery menu, as seen in Figure 60. Robot activities will continue.

NOTE . . .
USING “HALT PROCESS” WHEN A JOB HAS JUST STARTED WILL ALLOW WAFERS TO CONTINUE FLOWING
THROUGH EACH STATION UNTIL IT IS READY TO TRANSFER TO THE CHUCK. IT WILL NOT TRANSFER THE WAFER
TO THE CHUCK.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 95
Operation Terminating Jobs

Recovery Menu Functions

Figure 60: Recovery Menu

As can be seen in Figure 60, the recovery menu has several options, as described below.

Jobs
Refer to “Jobs” on page 65.

Wafer View
Refer to “Wafer View” on page 92.

Substrate Tracking View


Refer to “Substrate Tracking View” on page 93.

Abort All Jobs


This will remove all control jobs, including all of their process jobs, from the queue. The wafer
that is currently processing will be rejected, and processing of this wafer will not be resumable.
All wafers will be returned to their respective carrier slots, during the abort recovery sequence.

Stop Job
Refer to “Stop” on page 80.

Reject Wafer
This button will only be active if there is a wafer in process on the chuck. This selection will
cause the wafer to be removed by the robot and placed back onto the carrier slot that it came
from. It will be coded as rejected, coloring it yellow on the material tracking displays. Processing
of additional wafers in the job will resume.

Setup Menu
This will bring up the setup menu, from which you can access manual assist mode and other
functions. Refer to “Setup Menu Function Keys” on page 129.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 96
Operation Terminating Jobs

Halt Process
Pressing this button from the recovery menu will issue another hard break.

ABORT
Refer to “ABORT” on page 95.

Message
Refer to “Message (F9)” on page 122.

Alarms
Refer to “Alarms” on page 141.

Continue
This button will only be active if Halt Process was pressed when wafer processing was inactive,
such as during a transfer. This selection will resume operation.

Print Monitor
Refer to “Print Monitor (Shift-F10)” on page 123.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 97
Operation Broken Wafer Response

Broken Wafer Response


In the event a wafer is broken in the vicinity of the wafer handler, XY stage, or granite rock,
perform the following:
1. Immediately stop all stepper operations, by pressing “ABORT” on-screen.
2. Call for maintenance or appropriate support personnel to clean the machine.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 98
Operation Wizards

Wizards
A wizard is a progression of steps designed to accomplish a task, such as creating a job. There
are usually several consecutive steps in a wizard. Most wizards contain buttons allowing you to
continue (Next >), backup (< Back) or exit (Exit). One example of a wizard is the machine
variables wizard, which can be accessed with the “Machine Variables” button on the setup menu.
The first part of the wizard is shown in Figure 61.

Figure 61: Machine Variable Wizard, Part 1

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 99
Operation Wizards

If “Save” is highlighted, as shown in Figure 61, and then “Next >” is pressed, the next step in
the wizard will be as shown in Figure 62.

Figure 62: Machine Variable Wizard, Part 2

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 100
Operation Wizards

After choosing a drive and pressing “Next >” again, the wizard displays a notice that the
variables were saved, as shown in Figure 63.

Figure 63: Machine Variable Wizard, Part 3

When “Next >” is again pressed, the wizard exits, and the screen which accessed the wizard
is restored.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 101
Operation Startup Functions

Startup Functions

Windows Login
The stepper operating program must first be loaded to operate the machine. The program is
installed on the PC hard drive and loads automatically after the Windows login is performed.
1. Verify that the stepper power is turned ON, and the “Welcome to Windows” dialog box is
shown.
2. Simultaneously press the three keyboard keys: Ctrl, Alt, and Delete.
3. In the “User name:” field, type “runtime”
4. In the “Password:” field, type “runtime”
5. Use the “Log on to:” drop-down list to select “UTSxxxx (this computer).
6. Press OK.

Login Wizard

NOTE . . .
THE LOGIN WIZARD APPEARS ONLY IF ENABLED IN USER CONFIGURATION.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 102
Operation Startup Functions

1. If Log In has been enabled in user configuration, the system partially initializes and the log
in wizard screen appears, as in Figure 64. If Log In has not been enabled, go to Step 3.

Figure 64: Log In Wizard Screen

2. After the log in wizard dialog box appears, log into the system.
Type: <Your User Name> . . . into the field
Press: Tab . . . key on keyboard
Type: <Your Password> . . . into the field

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 103
Operation Startup Functions

Press: Next > . . . Enter key using keyboard.

Figure 65: Log In Confirmation

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 104
Operation Startup Functions

3. An Initialize Hardware prompt appears as shown in Figure 66.

Figure 66: Initialize Hardware

Press: Yes . . . If not already selected


Press: Next >
4. The initialization sequence will continue.
5. The Main Menu, as shown in Figure 67, appears with the prompt: “Idle Finished” in the
status bar.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 105
Operation Startup Functions

NOTE . . .
REFER TO “Main Menu Function Keys” on page 121 FOR A MORE DETAILED DESCRIPTION OF THESE KEYS.

Figure 67: Main Menu Screen

Status
Bar

Shutdown

NOTE . . .
THE SHUTDOWN WIZARD WILL APPEAR AS A SUBSET OF “LOG OUT (F5)” IF LOG IN HAS BEEN ENABLED IN USER
CONFIGURATION.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 106
Operation Startup Functions

Pressing shutdown (F5) from the main menu will display the options shown in Figure 68.

Figure 68: Shutdown Options

Quick Restart
A quick restart will terminate the Ultratech Equipment Control System (ECS) and any other
running applications, and then log off Windows. Windows will not be re-started, but upon
logging back into windows, the ECS will re-start.
1. Access shutdown (see Figure 68) to complete the following steps.
Select: Quick Restart . . . this default option can also be selected by pressing the
up and down arrows located on the right side of the wizard
Press: Next >
2. The ECS application closes and the Windows login appears. Log on to Windows in the normal
manner.
3. If the login screen appears, use the wizard to log into the system.
4. Proceed with equipment initialization.

Full Sysboot
A full sysboot will terminate the Ultratech ECS and any other running applications, log off
Windows, and restart the controller and Windows. Upon completion of the Windows log-in, the
Ultratech ECS will be re-started, and the system will be re-initialized.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 107
Operation Startup Functions

1. Access the Shutdown option and complete the following steps.


Select: Full Sysboot . . . this can be selected by pressing the up and down arrows
located on the right side of the wizard. See Figure 69.
Press: Next> . . . Enter key using keyboard.
2. The ECS and Windows close, and the controller re-boots. When the Windows login appears,
log on to Windows in the normal manner.

Figure 69: Full Sysboot (Reboot Command)

3. If the login screen appears, use the wizard to log into the system.
4. Proceed with equipment initialization.

Exit to Windows
Exit to Windows will terminate the Ultratech ECS and return to the Windows desktop. Windows
will not be re-started.
1. Access shutdown (see Figure 68) to complete the following steps.
Select: Exit to Windows . . . this option can be selected by pressing the up and
down arrows located on the right side of the wizard
Press: Next >
2. The ECS closes and the Windows desktop appears.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 108
Operation Startup Functions

Log Out

NOTE . . .
THE LOG OUT BUTTON APPEARS ONLY IF “LOG IN” IS ENABLED IN USER CONFIGURATION.

Pressing Log Out (F5) from the main menu will display the options shown in Figure 70.

Figure 70: Log Out Options

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 109
Operation Startup Functions

Change User
After selecting the Change User option in the Log Out menu, the following screen opens to allow
entry of a different user name and password:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 110
Operation Startup Functions

If you press Next > after correctly entering a different user name and password into the
appropriate fields, a confirmation screen appears:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 111
Operation Startup Functions

If you press Exit (to cancel the Change User function), the following screen will appear, which
will contain the current user name and access level:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 112
Operation Startup Functions

Log Out
After selecting the Log Out option in the Log Out menu, the following screen opens to confirm
that you want to log out:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 113
Operation Startup Functions

If you select Yes then click Next >, the following Log In screen appears to enable you to either
log in again or to shutdown:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 114
Operation Startup Functions

Temporary Log In
If a user tries to access certain menus that require a higher access level than currently held by
that user, the Temporary Log In screen will appear.

Figure 71: Temporary Log In

This screen contains the current user name and access level as well as the access level that is
required for the function that the user tried to access.

Shutdown
Refer to “Shutdown” on page 106.

User Login Management


A tool has been provided to setup and manage user accounts. Note that the options available
vary with the level of the logged in user. The examples below are from a Supervisor level
account.

NOTE . . .
WHEN THE CONTROLLER IS SHIPPED, ONLY ONE USER PROFILE IS PROVIDED. TO ACCESS THE INITIAL SETUP
OF USERS, USE THE USER NAME “INITIAL” AND THE PASSWORD “USER ”. AFTER LOGIN, YOU MAY SET UP AN
SUPERVISOR LEVEL ACCOUNT, OR USE THE “INITIAL” ACCOUNT AS THE SUPERVISOR LEVEL ACCOUNT.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 115
Operation Startup Functions

Only supervisor level authorized personnel may access the user login management tool. Other
users who try to access this function will see the message shown in Figure 71.
If you have supervisor access level, complete the following steps to access user login
management tools.
1. From the main menu:
Press: Setup Menu
Press: Setup Tools . . . to access a list of setup tools
Select: User Login Management . . . from the list
Press: Next >
Prompt: “Select an Action:”
2. As shown in Figure 72, the following options are available: Change Password, Add New User,
Edit User and Exit.

Figure 72: User Login Management Tools - Supervisor Level Option Menu

Change Password
1. To change a password, complete the following steps, from the menu shown in Figure 72:
Select: Change Password
Press: Next >
2. Select and confirm a password between 1 and 50 characters.
Prompt: “New Password”
Type: <New Password> . . . into the text box

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 116
Operation Startup Functions

Prompt: “Verify New Password”


Type: <New Password> . . . as above into the text box
Press: Next >
Prompt: “Password successfully changed. Press ‘Next’ to continue.”
Press: Next >
3. The user login management tool menu appears (see Figure 72).

Add New User


Adding users is an administrative function. Only those with engineering level access or higher
may add users. To add a new user, complete the following steps.
1. From the menu shown in Figure 72:
Select: Add New User . . . from the list
Press: Next >
2. Enter the new user name, and establish a password.
Do: Using the on-screen data entry fields, as shown in Figure 73, enter the new
user’s name and password.

Figure 73: Add New User Dialog Box

Press: Next >


3. Determine the job function of the user to establish the appropriate access to resources
available.
Prompt: “Select a security level.”

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 117
Operation Startup Functions

NOTE . . .
THERE ARE FOUR SECURITY LEVELS: USER, TECHNICIAN, ENGINEER, AND SUPERVISOR. USER LEVEL PROHIBITS
MODIFICATION OF ANY CONFIGURATION SETTING OR MACHINE VARIABLE. IT ALSO PROHIBITS ACCESS TO SOME
OF THE DIAGNOSTICS. TECHNICIAN LEVEL PROHIBITS ACCESS TO USER CONFIGURATION SETTINGS, BUT
ALLOWS ACCESS TO ALL OTHER FEATURES EXCEPT “EDIT USER ”. ENGINEER LEVEL ONLY RESTRICTS USE OF THE
“EDIT USER” FUNCTION. SUPERVISOR LEVEL HAS ACCESS TO ALL FEATURES.

Select: <Desired Security Level> . . . from the list


Press: Next >
4. The new user has been set up and the following will appear.
Prompt: “New user added successfully. Press ‘Next’ to continue.”
Press: Next >
5. The user login management tool menu appears (see Figure 72).

Edit User
Editing users is an administrative function. Only those with supervisor level access may edit
users. To edit a user, complete the following steps.
1. From the menu shown in Figure 72:
Select: Edit User . . . from the list
Press: Next >
2. Select the user to edit, and press Next >.
Select: <Desired User> . . . from the list
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 118
Operation Startup Functions

3. As shown in Figure 74, the following options are available: Change Password, Change
Access Level, Delete User and Exit.

Figure 74: Edit User Options

4. The options obey the following rules:


„ Change password does not require the old password, and is the method used if a user
has forgotten his or her password.
„ Change access level can be used to elevate or demote the user access level, without the
user’s permission.
„ Delete user is not reversible, except by performing “Add New User”.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 119
Operation Function Menus

Function Menus
At the bottom of many of the stepper’s screens, there is a set of function buttons displayed.
These serve as shortcuts to other screens and wizards. The main menu is the first menu to
appear after the stepper is started up, and is the highest level menu. An example of the main
menu function button display is shown in Figure 75.

Figure 75: Main Menu Functions

From the above main menu, if the “Setup Menu” (Shift F5) button is pressed, the setup menu
functions appear, as shown in Figure 76.

Figure 76: Setup Menu Functions

A return to the main menu is performed by pressing “Done” (F10) on the setup menu. Another
set of function buttons is shown in Figure 77, which is accessed through the “Jobs” button on
the main menu.

Figure 77: Jobs Menu

You may have noticed that each of the above menus is labeled with its title along its left edge.
Also note that seven buttons on the right side of all of the screens are the same, except the
main menu has an “About” button instead of a “Done” button (F10).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 120
Operation Function Menus

Main Menu Function Keys


The Main Menu is used for most normal processing tasks. This menu (see Figure 78) is displayed
when the stepper has been initialized.

Figure 78: Main Menu Functions

Jobs (F1)
Brings up the “Jobs” menu.

Material Handling (Shift‐F1)


Refer to “Material Handling View” on page 85.

Zero Offsets (Shift‐F2)


If the user presses this function button, the wafer count accumulated since the last time this
button was pressed will be set to zero. It will also clear other accumulated offsets.

GEM (Shift‐F3)
This key brings up the SECS/GEM screens and menu buttons, including a tab for host terminal
(GEM). Refer to “GEM Screen” on page 58.

Wafer View (F4)


This button will display the wafer graphic on the right side panel. Refer to “Wafer View” on
page 92.

Substrate Tracking View (Shift‐F4)


This button brings up the substrate tracking pane on the right side of the display. For further
information, refer to “Substrate Tracking View” on page 93

Shutdown or Log Out (F5)


Depending upon the user configuration settings, this button may be either Shutdown or Log
Out. Shutdown is detailed in “Shutdown” on page 106. Log Out is detailed in “Log Out” on
page 109.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 121
Operation Function Menus

Setup Menu (Shift‐F5)


Refer to “Setup Menu Function Keys” on page 129 for a complete description of the setup menu.

System Status (Shift‐F6)


Refer to “System Status (Shift-F6)” on page 136 for more detail.

Halt Process (F8)


Refer to “Halt Process” on page 95.

ABORT (Shift‐F8)
Refer to “ABORT” on page 95.

Message (F9)
Certain screen configurations do not allow the display of active messages. If you are in one of
these screens, and a message display is created, then this button will flash. Pressing this button
will then allow the message to be displayed.

Alarms (Shift‐F9)
Accesses the alarm screen, where any active alarms are displayed and can be cleared. Refer to
“Alarms” on page 141 for more information on alarms.

About (F10)
This button provides a summary screen detailing software part number, source code version,
equipment model, and patent information. Press the “OK” button to exit the “About” screen.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 122
Operation Function Menus

Print Monitor (Shift‐F10)


The print monitor serves as a paperless way to record any errors or messages that occur during
stepper operation. After the print monitor button is pressed, the print monitor screen and menu
appears (see Figure 79). From here, one of the following actions may be performed:

Figure 79: Print Monitor

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 123
Operation Function Menus

Find Next . . . To use this function, first type a search text string into the “Find What” box.
Upon pressing “Find Next,” the next occurrence of the text string will be highlighted, as
shown in the example in Figure 80.

Figure 80: Find Next

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 124
Operation Function Menus

Event Log . . . The Event Log button will bring up the Events Log Viewer window with filter
control. See Figure 81.

Figure 81: Events Log Viewer

Filters can be set up based on the date/time, module ID, substrate ID, process job ID, recipe
ID, and event ID. An example is shown below.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 125
Operation Function Menus

The type of events to be collected can be edited through the Event Reports Editor, as shown
below.

Additional information on event logs can be found at “Event Log Details” on page 139.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 126
Operation Function Menus

Print Selection . . . After highlighting a portion of the text on the print monitor, by clicking
and dragging the cursor across the desired data to print, the print selection button
becomes available. Refer to Figure 82. When Print Selection is pressed, the selection will
be sent to the location identified by Windows. This location may be defined as a printer,
server, or file.

Figure 82: Print Selection

Print All . . . The print all button will send the entire contents of the print monitor to the
location identified by Windows. This location may be defined as a printer, server, or file.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 127
Operation Function Menus

Logs . . . The Logs button will bring up a listing of log files, as shown in Figure 83. Double-
clicking on one of the filenames in the list will open that log file up in the print monitor,
as shown in Figure 84. After the log file is opened in the print monitor, The “Find Next”
feature can be utilized to search for a specific event.

Figure 83: Logs

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 128
Operation Function Menus

Figure 84: A Log File Opened in the Print Monitor

Update . . . Updates the screen with any messages or information sent to the print monitor
after it was accessed.

Done . . . Returns user to the menu used to enter Print Monitor.

Setup Menu Function Keys


The Setup Menu is accessed from the Main Menu by pressing the Setup Menu button or Shift-
F6. The Setup Menu is used primarily for Setup and Maintenance tasks.

Figure 85: Setup Menu Functions

Variables (F1)
Accesses the system variables editor, from which critical system parameters may be defined or
modified.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 129
Operation Function Menus

CAUTION
SYSTEM VARIABLES DEFINE THE PHYSICAL AND LOGICAL CONFIGURATION OF THE
SYSTEM. IMPROPER OPERATION OR PHYSICAL DAMAGE TO THE SYSTEM COULD
RESULT IF THESE PARAMETERS ARE IMPROPERLY SET.

There are two selections here which are of use to the user, and may be customized to tailor
operation to the user’s preferences: Process program data and user configuration.
Further information about the use of the variables editor is located at “Variables Editor” on
page 198.

Reticle I/O (Shift F2)


During job execution, transfer of the reticle is automatic. There are times when reticle transfer
must be performed outside a job. This button is provided for this purpose. If there is a reticle
loaded on the reticle stage, pressing Reticle I/O will remove the reticle from the reticle stage
and return it to the library, unless the reticle carrier is closed or the slot is occupied.

Figure 86: Reticle Manual Transfer, Open Carrier

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 130
Operation Function Menus

Figure 87: Reticle Manual Transfer, Select Empty Slot

With the reticle stage empty, pressing Reticle I/O results in the message shown in Figure 88.

Figure 88: Reticle Manual Transfer, Select Reticle to Load

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 131
Operation Function Menus

After a reticle is selected from the list, and “Next >” is pressed, the selected reticle will be
transferred to the reticle stage. If there is some uncertainty about which reticle is in which
location, press “Exit” and use the “Material Handling” function to get a list of the reticles in the
library, by bar code. Refer to “Material Handling View” on page 85.

Setup Tools (F3)


This button brings up various setup tools to assist maintenance personnel in setting up or
calibrating the system.

Wafer I/O (Shift‐F3)


Wafer I/O functions described below also apply to any setup tools that transfer wafers from
carriers to stage.
The transfers use the material selection panel on the right side of screen to select materials, or
the slot to put the material back in.
All material transfers perform the same actions as material transfer within a job. This includes
the precise hand off at prealigner, trajectory move from loadport 2 to prealigner and extra get
motion from stage for the first wafer in job.
z If carrier is not open, a message comes up asking user to load the carrier.

Figure 89: Load Carrier

z A user may press the “Recreate” button, if a carrier is present on the load port, or load a
carrier on the load port.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 132
Operation Function Menus

z Once carrier is open, if more than one carrier are available, a box comes up asking user to
select loadport. If both load ports are available, user can select ‘Both’ which will let user
select wafers from both loadports.

Figure 90: Select Load Port

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 133
Operation Function Menus

z Once loadport is selected, a window comes up asking user to select wafers. If the routine
needs one wafer, only one wafer can be selected by clicking on the green part of the slot. A
red arrow shows the slots selected. If the user clicks another slot, the red arrow moves to
another slot.

Figure 91: One Wafer Selection

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 134
Operation Function Menus

z If the routine needs multiple wafers, the user can select as many wafers as needed by
clicking on the green part of the slots. The User can also go back and forth between load
ports to select wafers. All wafers selected will be marked with red arrows.

Figure 92: All Slots Selected

z For those routines that put wafer back from stage to carrier:
„ If the carrier is not available, the user is asked to open the carrier.
„ If the carrier is available, but it does not know where to put the wafer back in, a window
is brought up for user to select empty slot.

Machine Variables (F5)


This function accesses the machine variables wizard, allowing the user to save, load or compare
the machine variables. Machine variables are values that are critical to the machine’s operation,
and are unique to each machine. They are stored on the system drive and/or the user drive in
a file keyed to the computer’s serial number. Machine variables should be saved after performing
diagnostic or maintenance procedures. More details on this function can be found in “Machine
Variables” on page 175.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 135
Operation Function Menus

Figure 93: Machine Variables Wizard

System Status (Shift‐F6)


The system status table provides feedback as to the current status of the system, and each of
its component agents.
By examining this table, each agent’s status may be determined as existing in one of four
‘states’: “Error”, “Uninitialized”, “Busy”, or “Ready”. The table provides a unified interface to
initialize and test agents, and clear errors, thus avoiding the need to reboot the entire system.
The system status table may be accessed by pressing the “System Status” button (Shift-F6,
using the keyboard) from the main or setup menu. The table will be displayed automatically if
one or more of the enabled agents are not in the “Ready” state.
Review the agents listed as being in the “Error”, “Uninitialized”, or “Busy” state, and determine
what may be done to bring them to a “Ready” state. See Figure 94 for agent listings.
There are two ways to access this screen, one of which provides more initialization options than
the other. If you access it via Main Menu > System Status, the Init Equipment button is
active (this allows you to initialize all “Not Ready” agents) and the EFEM State can be selected
and initialized.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 136
Operation Function Menus

But if you access this screen via Setup Menu > System Status, the Init Equipment button is
not active and you cannot select the EFEM State.

Figure 94: System Status - Hardware Subsystems Listing

Buttons are provided for the following functions:


z Initializing or recovering a selected agent.
z Testing the selected agent.
z Initializing the entire stepper.

NOTE . . .
THE INIT EQUIPMENT BUTTON WILL NOT BE AVAILABLE IF THE SYSTEM STATUS MENU WAS ENTERED FROM
THE SETUP MENU.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 137
Operation Function Menus

Initialization of the agents, motors or equipment is accomplished from within the System Status
window. Figure 95 shows the Agents Not Ready table, which displays only component agents
that are currently not ready, for one reason or another.

Figure 95: Agents Not Ready Table

Manual Assist (F7)


Refer to “Manual Assist” on page 143.

Alarms (Shift‐F9)
Refer to “Alarms” on page 141.

Done (F10)
Returns to the Main Menu.

Print Monitor (Shift‐F10)


Refer to “Print Monitor (Shift-F10)” on page 123.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 138
Operation Event Log Details

Event Log Details


This section describes how event logs can be viewed and edited. The topics are as follows:
z Disabling/Enabling Event Recording
z Viewing Alarm Events

Disabling/Enabling Event Recording


The All Disabled option is accessed by going to Print Monitor > Event Log > Log Editor.
The All Disabled option in the Event Reports Editor enables you to stop the system from
recording events into the Event Log, as shown in Figure 96:

Figure 96: All Disabled Option

If checked, the system will no longer record events in the Event Log. If the All Disabled check
box is later unchecked, the system will begin again to record events. However, any events that
occurred while recording was disabled cannot be retrieved and put into the Event Log.

NOTE . . .
EVENT NOTIFICATIONS TO THE FAB HOST USES A DIFFERENT MECHANISM AND IS NOT AFFECTED BY THE ALL
DISABLED CHECK BOX.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 139
Operation Event Log Details

Viewing Alarm Events


A red icon now appears in the Event ID column for all alarm events that enables you to readily
view them, as shown in Figure 97:

Figure 97: Alarm Events

You can access this view by going to Print Monitor > Event Log.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 140
Operation Alarms

Alarms

Process Module Alarm Types


For all of the following types of alarms; the alarm text describes the exception condition, as well
as what subsystem sourced it, the time of the alarm and the alarm ID. All alarms are made
available to the factory host and logged in the local alarm log. The SECS/GEM manual details
the alarm ID's that the host can subscribe to.

Notification
This is an alarm that is not visualized and system operation is not halted. Example: MVS lamps
need to be changed in 15 days......

Warning
This is an alarm that is visualized and system operation is halted. The user must choose the
desired “resolution” option. Normally “clear”. It is meant to “warn” the user of an abnormal
situation. After choosing the “resolution”, the system will continue processing.
Example: When loading a process program - “Unknown Agents or Settings Found...”.

Error
This is an alarm that is visualized and system operation is halted. The user must choose the
desired “resolution” option. Normally “clear”. It is meant to “tell” the user of an abnormal
situation.
If running a job - the user is placed into “Recovery Idle State”. Wafer processing is aborted;
(Example: XY Stage following error).
In this state the user needs to fix the problem that caused the alarm condition. (Fix and re-
initialize a faulted stage, modify the process program that had a range error, etc....) The user is
presented with the opportunity to reject the wafer, abort the wafer or abort the job.

Irrecoverable Error
This is an alarm that is visualized and system operation is halted. The user must choose the
desired resolution option, normally “clear.” It is meant to tell the user of an abnormal situation.
It is not an error that we can recover from, and is worthy of cancelling all the wafers in the
queue.
If running a job - the job is terminated. A job cannot be started or continued. The user must fix
the problem, and re-initialize the machine back to an idle state. All the material status is
retained, so the operator can disposition the material and decide how to continue the lot/jobs.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 141
Operation Alarms

Internal Application Error


This error is the same as an “Irrecoverable Error” but is worse. Ultratech should be notified of
this type of error, and the scenario it occurs on.

Front End Errors (Robot, Loadport, Prealigner, Reticle Library,


Etc.)
This alarm is visualized. Generally if there is an error on any of these subsystems, it will be
treated like an “Irrecoverable Error”.

Alarm Message Length Control


By default, the alarm messages of Ultratech equipment adhere to SEMI specs for alarm text,
which states that they be a maximum of 40 characters. This character restriction often renders
alarm text to be minimally useful because messages tend to be cut off and incomplete. An
option in the General Preferences screen of the Loader Setup tool allows the user to set a
maximum length of the alarm text:

You can access the Maximum Length of Alarm Text option by going to: Setup Menu > Setup
Tools > Material Handler Tools > Loader Setup > Preferences tab >General tab.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 142
Operation Manual Assist

Manual Assist
Manual assist is a software tool that allows operators, maintenance, and process personnel to
access various machine functions manually. Some functions execute immediately, while others
display a submenu requiring more information.
Manual assist gives the user control over the alignment functions. It is typically used to train,
store and view stored reference patterns, or assist in locating and optimizing video image
patterns after alignment failures.

CAUTION
SUCH CONTROL CAN BE DANGEROUS TO YOUR PRODUCT, OR THE STEPPER, IF
USED UNWISELY; SO BE SURE YOU GET PROPER TRAINING IN STEPPER OPERATION
BEFORE ATTEMPTING TO USE MANUAL ASSIST.

Usually prealign and subsequent alignment is automatic. In some cases, however, the stepper
may not be able to automatically complete the alignment sequence. For example, the target
capture may be unsuccessful if the edges of a target have become indistinct as a result of
degradation from wafer processing, or if the target location on the wafer is significantly different
from that specified in process program data.
If the stepper is unable to perform alignment automatically, it suspends operation, changing
from alignment to manual assist. The manual assist screen appears on the computer screen,
and the stepper waits for user assistance in finding targets.
In this procedure, the user manipulates the user interface and assists in locating and optimizing
alignment marks and wafer targets.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 143
Operation Manual Assist

Manual Assist Status Screen


Upon entering manual assist, the manual assist menu is displayed. The MVS “Status” tab will be
selected the first time manual assist is entered after initialization, or after an alignment failure
(as shown in Figure 98).

Figure 98: Manual Assist Menu

The left panel details real time information about the XY stage position and actuators. The right
panel enables manual movement of the stages and stepping motors.
If one of the other tabs on the left side is selected and then manual assist is exited, the last
selected tab will be the default view the next time manual assist is entered, unless an alignment
failure causes the drop into manual assist.

NOTE . . .
ALL MANUAL ASSIST KEYBOARD SHORTCUTS ARE INACTIVE UNLESS THE “Z KEY” AREA JUST ABOVE THE F10
LABEL IS GREEN AND INDICATES “ACTIVE.”

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 144
Operation Manual Assist

Manual Assist Cooling Screen


The “Cooling” tab calls up a table that displays the status of the reticle and prism closed-loop
cooling system, as shown in Figure 99. Valve conditions are displayed as well as water flow
status, leak detector status, control mode and temperature.

Figure 99: Manual Assist Cooling Screen

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 145
Operation Manual Assist

Manual Assist Tools Screen


Figure 100: Manual Assist Tools

View Ultratech Documentation provides access to this manual in Adobe PDF format, as was
described in “On-Line Documentation” on page 15. Access is also provided to MVS Pattern
Training, as described in “MVS Pattern Training” on page 157 and MVS File Management.

Manual Assist Menu Function Keys


Figure 101: Manual Assist Keys

The Manual Assist function keys allows you to access certain machine functions from the touch
screen or computer keyboard.

Variables (F1)
Brings into view the Variables screen in the upper part of the Manual Assist Screen. Refer to
“Variables Editor” on page 198.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 146
Operation Manual Assist

Bits (F3)
This function is used for maintenance and setup of the stepper. It allows the manual operation
and monitoring of many of the stepper’s electronically controlled devices.

Figure 102: Bits List

There are two types of bits, input bits and output bits. Input bits are used to monitor the status
of sensors and output bits allow the user to manually manipulate various system states, such
as vacuum to the wafer chuck. When an output bit is selected, by touching anywhere in its row,
it will be colored in green, indicating its selection. The bit may now be toggled with the Toggle
button. Refer to Figure 103.

Figure 103: MVS Hardware Bits

Also note the right-most column “~” symbol and the note at the top of Figure 103. This indicates
a software inversion of the output state. For example, in Figure 103 the “Flip Prism Up Drive”
bit is shown as 0, or false, indicating that the flip prism is down. However, in the hardware the

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 147
Operation Manual Assist

flip prism bit output by the Galil in this state is high, and the flip prism is actively driven down.
This information is only needed during troubleshooting, when a DVM is used to check the status
of Galil outputs and inputs.

CAUTION
DAMAGE TO THE STEPPER MAY OCCUR WHILE WORKING WITH OUTPUT BITS, AS
SOME OF THE STEPPER’S NORMAL OPERATIONAL INTERLOCKS ARE NOT
FUNCTIONAL. IT IS POSSIBLE TO SELECT A FUNCTION OR COMBINATION OF
FUNCTIONS THAT COULD RESULT IN IRREPARABLE DAMAGE TO THE STEPPER.

Input bits are used to manually monitor the status of the stepper’s sensors. The current status
of each bit is displayed as a 0 (false) or 1 (true) in the status column. Refer to Figure 103.
To exit Bits, press the Done button.

Stage Control View (Shift‐F3)


Brings the stage control panel into view in the upper right part of the Manual Assist screen. This
is the default view. Refer to Figure 98.

Wafer View (F4)


Brings the wafer map into view in the upper right part of the Manual Assist Screen.
The wafer view screen highlights the current wafer step.

Key Defs View (Shift‐F4)


Shows a list of manual assist keyboard shortcut keys and their definitions. Refer to “Manual
Assist Keys” on page 154.

Next Target (F6)


This key is only active if Manual Assist was entered automatically as a result of alignment failure.
For each image step, there are up to three target (align) sites, as defined by process program
data. In order, they are defined as:

Primary . . . Also known as the default align site, this is the site that the stepper will first
attempt alignment at. If alignment is not successful, and additional sites have been
defined, the stepper will attempt alignment at the next defined site.

Secondary . . . This is the secondary, or backup, site. If alignment is not successful at the
primary site the stepper will either attempt alignment at the secondary site, if available
and if configured to automatically select the backup site upon alignment failure. If the
stepper is not configured to automatically select the secondary site, and an alignment
failure occurs at the primary site, manual assist mode will be selected. From manual
assist mode, the user can force the stepper to attempt alignment at the secondary site
by pressing Next Target.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 148
Operation Manual Assist

Tertiary . . . Although rarely defined, this site can be used as a backup to the backup. If
an alignment failure occurs at the secondary site, the user can force the stepper to
attempt alignment at the tertiary site by pressing Next Target.
The align site may also be changed by pressing the Change Position button adjacent to the
Set Cam Position button, again only if more than one align site is defined in process program
data.

System Status (Shift‐F6)


Refer to “System Status (Shift-F6)” on page 136.

Soft Break (F7)


If the stepper was attempting prealign, it will attempt to find the backup prealign site when Soft
Break is pressed. If it was already attempting to align its backup prealign site, the runmode will
be exited.
If the stepper was aligning a non-prealign step, it will either attempt alignment at a backup align
site, if defined, or skip or blind expose that step when Soft Break is pressed, as specified in
either process program data or machine configuration and proceed to the next step.

Silence (Shift F7)


Refer to “Silence Button” on page 427.

Halt Process (F8)


Refer to “Halt Process” on page 95.

ABORT (Shift‐F8)
Refer to “ABORT” on page 95.

Message (F9)
Refer to “Message (F9)” on page 122.

Alarms (Shift‐F9)
Refer to “Alarms” on page 141.

Done (F10)
Returns to the Setup Menu.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 149
Operation Manual Assist

Print Monitor (Shift‐F10)


Refer to “Print Monitor (Shift-F10)” on page 123.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 150
Operation Individual Feature Descriptions for MVS Mode

Individual Feature Descriptions for MVS Mode


The manual assist mode menu and status screen allows you to control MVS mechanical
operations as well as perform MVS training, calibration, diagnostics and file management
functions.

MVS Status Screen


The MVS status screen displays real time information about the stages, focus system, alignment
system, and stepping motors. The alignment system display includes the stepper’s current mode
(Prealign, Align, or OFF), reticle field number, and eye fix. Combined with the run mode data
display, this information allows the user to determine what the stepper was doing when it
entered manual assist mode. The Delta values indicate changes made to stage and motor
positions since manual assist mode was entered.

Figure 104: MVS Status Panel

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 151
Operation Individual Feature Descriptions for MVS Mode

XY Stage Control
This figure illustrates the stage control screen that appears in
manual assist mode, and can be accessed from the MVS status
tab.
These functions allow the user to change the XY stage
position in relative increments. This is useful when manually
searching for patterns on the wafer
Pressing Search K/T will perform an MVS search for all targets
currently configured in process program data or frosty OAT
configuration.

Motors and Move Motor

XY Stage Move Size . . . This is used in conjunction with XY


stage control to determine the move size of the XY stage.
The move size can be specified in the “Range” field. Pressing
Apply will activate that increment.
Small, medium and large move sizes are specified by user
configuration settings.

Motor Select & Step Size . . . This allows the user to move
an individual motor in any given step size. It is used in
conjunction with the + and - keys on the keyboard, or the
move motor buttons presented below this panel.

XY Stage Move To . . . This allows you to move the stage to


a user specified position, or one of the pre-defined positions
available through the drop-down list.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 152
Operation Individual Feature Descriptions for MVS Mode

Illumination & Focus Control ‐ MVS Mode


This panel allows control of the MVS
camera focus and illumination.
The “Left Camera” and “Right Camera”
tabs allow for focus and illumination
adjustments. There are two ways of
making adjustments: either directly
entering the offset into the µm or DAC
entry box or by using the up/down arrow
buttons.
The “Both Cameras” tab allows for only the left or right camera illumination adjustments.
Adjustments can be made by entering the offset directly into the DAC entry box, or by using the
up/down arrow buttons.
Step Size option allows for adjustments of the focus and illumination step size. Use this option
to change the step size to a smaller or larger value than the default.

Left/Right Camera and Flipper Prism

Both the left and right on axis MVS cameras move in the X axis and look through the MVS
windows on the reticle. Each camera’s position is registered in millimeters from the center line
of the stepper’s projection optics input prism. As the camera stepper motor moves the camera
further from center, its position in mm increases. The camera positions are restricted to the
range of 9.0 mm to 20.0 mm for most steppers. The camera positions for the two cameras may
be changed independently.

NOTE . . .
LEAVING MANUAL ASSIST MODE DURING AN ALIGNMENT ISSUE, WHATEVER EYE FIX THAT WAS SELECTED WILL
BE THE EYE FIX USED FOR SUBSEQUENT ALIGNMENTS.

The flipper prism is normally in the UP position, and is lowered during each alignment sequence.
The operator can move the prism in manual assist mode by pressing the UP or DOWN button.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 153
Operation Individual Feature Descriptions for MVS Mode

View/Search
This window allows the user to view and search for specific
Patmax reticle and wafer patterns. To view a pattern, enter
the pattern filename (or number) in the box labeled “Pattern
Filename”. Press “View Pattern” to see the pattern from
storage. To search for a pattern, enter the pattern filename,
then press the “Find Pattern” button, and observe the MVS
monitor. The “Accept” field has an allowable range of 0 to 100
(percent). Its value may be adjusted during a “Find Pattern”,
to determine the acceptance score to be used in process program data. Information regarding
the pattern position inside the MVS window and accuracy percentage will appear on the MVS
monitor.
This window allows the user to view and search for specific
CNL reticle and wafer patterns. To view a pattern, enter the
pattern filename (number) in the box labeled “Pattern
Filename”. Press “View Pattern” to see the pattern from
storage. To search for a pattern, enter the pattern filename,
then press the “Find Pattern” button, and observe the MVS
monitor. The “Accept” field has an allowable range of 0 to 100
(percent). Its value may be adjusted during a “Find Pattern”,
to determine the acceptance score to be used in process program data. Information regarding
the pattern position inside the MVS window and accuracy percentage will appear on the MVS
monitor.

Alignment Position

The alignment position allows the user to toggle between the primary, secondary, and tertiary
align sites if they are defined. These are all process program data dependent.
Specific camera positions can be accomplished by pressing the Set Cam Distance button.

Manual Assist Keys


In addition to using the touch screen or trackball to perform operations, you can also issue
commands by pressing selected keyboard keys—Manual Assist keys. You can toggle back-and-
forth between use of the Manual Assist keys and touch screen / trackball control by clicking in
the Z Key Control box on the Manual Assist screen. Using the Manual Assist keys allows the user
to use keystroke commands to control some manual assist functions.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 154
Operation Individual Feature Descriptions for MVS Mode

NOTE . . .
THE KEYSTROKES ARE ACTIVE WHEN THE Z KEY AREA IS GREEN AND SHOWS ‘ACTIVE’.

To view the Manual Assist keys and their definitions, press the “Key Defs View” button, or Shift-
F4 after going to Manual Assist from the Setup Menu.
The functions of the manual assist keys are given in the key definitions window located in the
right panel of the manual assist screen. An expanded definition of highlighted keys is given
below the key definitions window as shown in Figure 105.

Expanded Definitions
To view the expanded definitions of the manual assist keys, complete the following steps.
1. Press the “Key Defs” button while in manual assist.
2. Select a key from the key definitions list.
3. Refer to the expanded definition window.

Figure 105: Key Definitions Display Screen

Manual Assist Keys Without an On‐Screen Equivalent


Ctrl-w - Switch Window Positions . . . Switches the displays of the main and auxiliary
monitors.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 155
Operation Individual Feature Descriptions for MVS Mode

d - Print Status Screen . . . Sends XY stage status to the print monitor.

i - Initialize Hardware . . . Allows you to initialize step motors, XY stage, and other
subsystems.

m - Toggle Stage Motors ON/OFF . . . Toggles stage motors ON and OFF. Used for
various machine setup functions.

p - Prints Status . . . Prints stage and stepper motor status. Primarily used for stage setup.

v - Wafer vacuum control . . . Toggles wafer chuck vacuum ON and OFF.

K - Lists key definitions to printer . . . Sends a list of manual assist key definitions to
the print monitor.

O - Displays Stage offsets and sigmas . . . Primarily used for troubleshooting stage
problems.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 156
Operation MVS Pattern Training

MVS Pattern Training


Normally, two patterns are necessary to accomplish a wafer alignment.
z The reticle key pattern which is a feature on the reticle within the MVS window.
z The wafer target which is a pattern printed on the wafer surface by a previous exposure
step.
The MVS system is trained to recognize these patterns during alignment.
After patterns have been digitized (pixel-by-pixel representations) and saved (trained) into the
controller, they are used for wafer alignment. In order to use the “trained” pattern, the operator
must modify the process program data that defines the reticle and wafer patterns and the offset
between them. With the illumination, focus and acceptance levels set by process program data,
the stepper will begin to search for the patterns. When both patterns are found, the positional
offset is measured and used to correctly expose the site.

MVS Training Procedure

NOTE . . .
BOTH THE STEPPER AND MVS SYSTEM MUST BE READY BEFORE STARTING THE TRAINING PROCEDURE.

1. In manual assist mode, under the Tools tab, access the MVS Pattern Training Wizard.
Select: MVS Pattern Training . . . this is the default option which can also be
selected by pressing the up and down arrows located on the right side of
the menu.
Press: Select . . . Enter key using keyboard

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 157
Operation MVS Pattern Training

2. The pattern training wizard shown in Figure 106 appears.

Figure 106: Pattern Training Wizard - Main Menu

3. Determine the training mode to be used.


Prompt: “Please select a pattern training method.”
Select: Live . . . trains patterns using MVS camera image.
~ or ~
Select: Re-Train . . . to re-train a previously defined pattern
~ or ~
Select: Synthetic . . . training performed using a synthetic image instead of a live
image from a camera.
~ or ~
Select: NCS Conversion . . . to convert patterns trained with NCS.
4. Select the configuration
Prompt: “Please select a MVS configuration.”
Select: On Axis . . . to train a pattern using one of the on-axis cameras.
~ or ~
Select: Off Axis . . . to train a pattern using the off-axis camera, if present.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 158
Operation MVS Pattern Training

Live Pattern Training


1. Follow the directions listed in Figure 107 to optimize the pattern to be “trained”.

Figure 107: Pattern Training Wizard - Optimize Image

Do: Select Camera(s)


(see “Left/Right Camera and Flipper Prism” on page 153 for description)
Do: Adjust positioning of target using XY Stage Control
(see “XY Stage Control” on page 152)
Do: Adjust Illumination & Focus of Image
(see “Illumination & Focus Control - MVS Mode” on page 153)
Press: Next >
2. This section of prompts will only appear if the machine variable “Show Advanced Training
Options” on page 615 is enabled.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 159
Operation MVS Pattern Training

Prompt: <as shown below>

Fine granularity limit is the smallest granularity used to detect features in the pattern being
trained.
Coarse granularity limit is the largest granularity used to detect features in the pattern being
trained.

NOTE . . .
PATMAX TRAINS THE PATTERN USING A RANGE OF GRANULARITIES, NOT JUST THE COARSE AND FINE
GRANULARITY LIMITS. THE COARSE AND FINE LIMITS ARE THE LARGEST AND SMALLEST GRANULARITIES THAT
PATMAX USES. IT IS ADVISABLE NOT TO SET GRANULARITY LIMITS MANUALLY AS PATMAX DOES A GOOD JOB
SELECTING THEM.

Press: Yes . . . to allow Cognex to auto-select granularity limits


~ or ~
Press: No . . . to enter the fine and coarse granularity limits as shown below

Enter: <The desired elasticity value>

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 160
Operation MVS Pattern Training

Elasticity is the degree to which nonlinear geometric changes are tolerated while comparing
an instance of a pattern found to the trained pattern.
The following comments relate to the value of elasticity.
„ Specifying a nonzero elasticity value does not affect Patmax execution speed.
„ Increasing the elasticity value does not decrease Patmax accuracy. However, location
information returned about additional object instances that are found, as a result of
increasing the elasticity value can be less accurate.
„ If the elasticity value is too low, you will see low scores and the MVS application may fail
to find patterns in the search image and/or the positions will be incorrect or unstable.
„ If the elasticity value is too high, Patmax may match false instances and may return
inaccurate or unstable results.
3. Choose if center line algorithm will be used or not.
Prompt: “Do you want to use Center-Line algorithm”

Training with Center‐Line Algorithm


This algorithm allows the user to separately identify the horizontal and vertical reference points
of the pattern.
Press: Yes . . . to use this algorithm
Prompt: Do you want to use dual boxes to locate the horizontal and vertical
centerlines?

NOTE . . .
RESPONDING WITH NO WILL CAUSE THE COMPUTER TO LOCATE THE CENTERLINE AUTOMATICALLY, BASED UPON
AN ANALYSIS OF THE CONTENTS OF THE TRAINING BOX. THIS METHOD SHOULD GIVE ADEQUATE RESULTS WITH
MOST PATTERNS. IF THE PATTERN IS COMPLEX, OR NON-SYMMETRICAL, RESPOND WITH YES TO IDENTIFY THE
REFERENCE POINTS MANUALLY.

1. Using Dual Boxes


Press: Yes

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 161
Operation MVS Pattern Training

1.1 Select an available pattern filename.

Figure 108: Selecting a Filename

Prompt: “Please enter the Pattern Filename... (As in Figure 108)


Do: Select an existing filename or type in a new one.
Press: Next >
1.2 If the filename selected already exists:
Prompt: Overwrite the pattern file?
Press: Yes
Press: Next >
1.3 Train the patterns.
Prompt: “Training Pattern...
On the Vision Monitor, position the box around the pattern and then
Press Next”

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 162
Operation MVS Pattern Training

1.3.1 Using the trackball, position the cursor over the edge of the red training box as
shown in A of Figure 109. Click and hold the left trackball button, and the box will
change to B in Figure 109. Roll the trackball, while holding down the left trackball
button to position the box over the reticle or wafer image, as shown in C of
Figure 109. The training box defines the pattern boundaries.

Figure 109: Training Box Manipulation

A
B

C
D E

1.3.2 If the training box does not fit the image, fit the box to the image. The box can be
resized by clicking and holding the left trackball button, then rolling the trackball,
while the cursor is on a corner of the box, as shown in D of Figure 109.
1.3.3 As shown in Figure 110, a right click on the image will bring up a quick access menu
to assist in pattern training. This helps image views and facilitates alignment.

Figure 110: Training Box Right-Click Menu

1.3.4 When the box is properly positioned, as shown in E of Figure 109, press “Next >” to
“learn” this pattern.
1.4 Identify Vertical Center Line
1.4.1 A new training box will appear on the MVS monitor.
Prompt: Position the box around the region for locating the VERTICAL center
line
Press Next to continue.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 163
Operation MVS Pattern Training

1.4.2 Using the method described in Step 1.3 on page 162, place and size the training box
around the vertical leg of the target, as shown in A of Figure 111.

Figure 111: Centerline Training

A B C

Press: Next >


1.5 The computer now prompts for horizontal center line location, and provides another
training box on the MVS monitor. Place and size the training box around the horizontal
leg of the target, as shown in B of Figure 111. Press “Next >.”
1.6 On the MVS monitor, the center-lines of the pattern will be indicated as in C of
Figure 111.
1.7 The message shown in Figure 112 is now displayed on the main monitor. If the indicated
center is not acceptable, respond with Yes and Next > to retry. If acceptable, press
Next >.

Figure 112: Option to Retry Center Line Location

Prompt: “Train complete please select Next to continue.”


Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 164
Operation MVS Pattern Training

1.8 If additional patterns are to be trained, repeat the complete training process for each
pattern.
2. Without using Dual Boxes
Press: Next >
2.1 Select an available pattern filename.
Prompt: “Please enter the pattern filename...
Press Next to continue or Exit to exit.”
Do: Select an existing filename or type in a new one.
Press: Next >
2.2 If the filename selected already exists:
Prompt: Overwrite the pattern file?
Press: Yes
Press: Next >
2.3 Train the pattern.
Prompt: “Training Pattern...
On the MVS monitor, position the box around the pattern then
Press Next.”
2.3.1 Using the trackball, position the cursor over the edge of the red training box as
shown in A of Figure 113. Click and hold the left trackball button, and the box will
change to B in Figure 113. Roll the trackball, while holding down the left trackball
button to position the box over the reticle or wafer image, as shown in C of
Figure 113. The training box defines the pattern boundaries.

Figure 113: Training Box Manipulation

A
B

C
D E

2.3.2 If the training box does not fit the image, fit the box to the image. The box can be
resized by clicking and holding the left trackball button, then rolling the trackball,
while the cursor is on a corner of the box, as shown in D of Figure 113.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 165
Operation MVS Pattern Training

2.3.3 As shown in Figure 114, a right click on the image will bring up a quick access menu
to assist in pattern training. This helps image views and facilitates alignment.

Figure 114: Training Box Right-Click Menu

2.3.4 When the box is properly positioned, as shown in E of Figure 113, press Next > to
“learn” this pattern.
2.3.5 On the MVS monitor, the center-lines of the pattern will be indicated as in C of
Figure 111 on page 164.
Prompt: “Train complete please select Next to continue.”
Press: Next >
2.3.6 If additional patterns are to be trained, repeat the complete training process for each
pattern.

Training Without Center‐Line Algorithm


When training without a center-line algorithm, the user either identifies the reference point of
the pattern, by moving a reference point marker inside the pattern, or allows the computer to
calculate the center point of the pattern, based upon the training box center, by leaving the
reference point marker outside the training box.
From Step 3 on page 161:
Press: No . . . to use non-center-line
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 166
Operation MVS Pattern Training

1. Select an available pattern filename.

Figure 115: Pattern Training Wizard - Pattern Filename Selection

Prompt: “Please enter the pattern filename...


Press Next to continue or Exit to exit.”
Do: Select an existing filename or type in a new one.
Press: Next >
2. If the filename selected already exists:
Prompt: Overwrite the pattern file?
Press: Yes
Press: Next >
3. Train the pattern.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 167
Operation MVS Pattern Training

Prompt: <as shown below>

NOTE . . .
THE SIZE BUTTON WILL ALLOW THE USER TO SIZE THE TRAINING BOX.

3.1 Using the trackball, position the cursor over the edge of the red training box as shown
in A of Figure 116. Click and hold the left trackball button, and the box will change to B
in Figure 116. Roll the trackball, while holding down the left trackball button to position
the box over the reticle or wafer image, as shown in C of Figure 116. The training box
defines the pattern boundaries.

Figure 116: Training Box Manipulation

A B E
D

3.2 If the training box does not fit the image, fit the box to the image. The box can be resized
by clicking and holding the left trackball button, then rolling the trackball, while the
cursor is on a corner of the box.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 168
Operation MVS Pattern Training

3.3 As shown in Figure 117, a right click on the image will bring up a quick access menu to
assist in pattern training. This helps image views and facilitates alignment.

Figure 117: Training Box Right-Click Menu

3.4 After positioning the box, the user will move the reference point over the alignment
feature inside the pattern boundary. Move the cursor over the reference mark, as shown
in D of Figure 116. Then click and hold the left trackball button, and roll the trackball to
position the reference point. The reference point represents the position that will be
returned by the alignment routine.
3.5 When the box is properly positioned and the reference point is set, as shown in E of
Figure 116, press Next > to “learn” this pattern.
4. If additional patterns are to be trained, repeat the complete training process for each
pattern.
Prompt: “Select Next to train another pattern...
or Exit to Exit”
Press: Next >

Re‐Training
Re-training is used to modify the parameters of a pattern that has already been trained.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 169
Operation MVS Pattern Training

1. Upon selecting “Re-train” and pressing Next >, the file selection screen appears, as seen in
Figure 118.

Figure 118: Re-Train Pattern File Selection

2. Select the pattern to be retrained.


3. The computer displays the pattern bitmap on the MVS monitor.
Prompt: “Is the bitmap on the Vision Monitor correct?”
Press: Yes . . . if this is the correct bitmap
~ or ~
Press: No . . . to re-select the file
Press: Next >
Prompt: “Do you want to use Center-Line algorithm”
4. The successive steps repeat the process detailed in “Training with Center-Line Algorithm” on
page 161, or “Training Without Center-Line Algorithm” on page 166.

Synthetic Training
Training from a synthetic file allows the user to train a pattern using a .bmp or .xdl format file.
This is beneficial when process variations make it difficult to train from a globally representative
live image.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 170
Operation MVS Pattern Training

1. Upon selection of this option, the window seen in Figure 119 appears.

Figure 119: Synthetic File Selection

2. Select the desired file.


Press: Next >
3. The MVS monitor will display the bitmap, and the main monitor will ask if it is the correct file.
Press: Yes . . . if this is the correct bitmap
~ or ~
Press: No . . . to re-select the file
Press: Next >
Prompt: “Do you want to use Center-Line algorithm”
4. The successive steps repeat the process detailed in “Training with Center-Line Algorithm” on
page 161, or “Training Without Center-Line Algorithm” on page 166.

Exiting The Training Process


Press: Exit . . . returns to manual assist mode tools main menu.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 171
Operation Initializing Hardware

Initializing Hardware
There are several methods available to initialize the stepper’s hardware. The first method is to
perform a quick restart as was discussed in “Shutdown” on page 106.

Init Equipment
This method, available from the main menu’s system status table, initializes all “Not Ready”
hardware.

NOTE . . .
ANY USER ACTIONS INITIATED IN MANUAL ASSIST OR DIAGNOSTIC TOOLS MAY LEAVE THE SYSTEM IN AN
UNEXPECTED STATE. THE INIT EQUIPMENT FUNCTION MAY NOT BE ABLE TO DETECT THESE CONDITIONS.
PLEASE FORCE RE-INITIALIZATION OF ANY SUBSYSTEM THAT IS ASSOCIATED WITH ANY MANUAL MANIPULATION
PRIOR TO OPERATING THE EQUIPMENT.

To use this method:


Press: System Status . . . from the main menu
Press: Init Equipment . . . button on left side of screen
Wait for the process to be completed.

Figure 120: System Status Table

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 172
Operation Initializing Hardware

Init Agent
This option, available from the system status table, allows the user to individually initialize any
of the hardware subsystems.
To use this method:
Press: System Status . . . from the main or setup menu
Select: Hardware SubSystems . . . from the drop-down list at the top of the
screen
Select: Desired agent . . . using the touch screen or trackball
Press: Init Agent . . . button on left side of screen
Wait for the process to be completed (agent is ready). Repeat the process for another
subsystem, or exit as follows:
Press: Done . . . to exit System Status

Initialize Hardware from Manual Assist


This option, available in Manual Assist, allows the user to individually initialize select hardware,
without leaving Manual Assist.
To use this method:
Press: Setup Menu . . . from the main menu
Press: Manual Assist . . . from the setup menu
Do: Ensure that the Z Key block at the lower right side of the screen indicates
active (green). If not, press it or move the cursor within it, using the
trackball.
Type: i . . . on the keyboard
Prompt: “Select Device to Initialize” (As shown in Figure 121)

Figure 121: Initialize Hardware In Manual Assist

Select: Any one of the available choices . . . by pressing on the choice using the
touch screen, by clicking on it with the trackball, or scrolling to it using
the up and down arrows on the right side.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 173
Operation Initializing Hardware

Press: Next >


Wait for the process to be completed, then make another selection as above, or:
Press: Exit . . . to exit
Press: Done . . . to exit Manual Assist
Press: Done . . . to exit Setup Menu

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 174
Operation Machine Variables

Machine Variables
Machine variables are vital to the machine, as they define the type of machine, its hardware
configuration, and other critical parameters. Each machine has its own set of machine variables,
and they are unique to it. If machine variables are lost, such as during a hard disk crash, they
will take many hours, perhaps days, to restore. This is why it is so critical that backups be made.
When any machine variable is changed, the machine variable file must be saved. Saving
machine variables causes an automatic backup of the old variables to be saved.

NOTE . . .
BACKUP COPIES OF THE MACHINE VARIABLES SHOULD BE KEPT AT A LOCATION OTHER THAN THE SYSTEM HARD
DRIVE, IN CASE OF A HARD DRIVE CRASH. REFER TO “Edit Registry Settings” on page 210 FOR
INFORMATION ON SETTING THE LOCATION OF BACKUPS.

To access the machine variables wizard from the main menu:


Press: Setup Menu
Press: Machine Variables
The controller will display the machine variable wizard main menu (as shown in Figure 122) and
will allow the user to choose either save, load or compare (see following descriptions).

Figure 122: Machine Variable Wizard Main Menu

Save
Choosing this option will take you to a new menu and you will have the option to save the
machine variables (as currently stored in memory) to the System Drive, or User Drive. Each time
the variables are saved to a source destination the computer will then return to the Machine
Variable Operation Main Menu.
Select: Save . . . using the touch screen or trackball
Press: Next >
Select: System Drive or User Drive . . . using the touch screen or trackball

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 175
Operation Machine Variables

Press: Next >


Prompt: Machine Variables were saved successfully.
Press: Next > . . . to exit the wizard

Load
Choosing this option will proceed to a new menu and the user will have the option to read into
the computer’s memory a saved copy of the machine variables from the system drive, user drive,
or backup drive. After completion, the computer will return to the machine variable operation
main menu.
This option is useful if the machine variables have been accidentally corrupted during
maintenance or setup.
1. Initiate the sequence:
Select: Load . . . using the touch screen or trackball
Press: Next >
Prompt: <As shown below>

Press: Next > . . . to proceed


2. System Drive or User Drive option:
Select: System Drive or User Drive . . . using the touch screen or trackball
Press: Next >
Prompt: Machine Variables were loaded successfully.
Press: Next > . . . to exit to windows

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 176
Operation Machine Variables

3. Backup Drive option:


Select: Backup Drive . . . using the touch screen or trackball
Press: Next >
The screen similar to the one shown in Figure 123 will be displayed.

Figure 123: Selecting a Backup Directory

The directories are coded by date. For example, a directory named D03_11_2008 would
contain machine variable files that were saved on March 11, 2008.
Select: The desired directory . . . using the touch screen or trackball
Select: The desired file . . . using the touch screen or trackball

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 177
Operation Machine Variables

The files are coded by time, as shown in Figure 124. For example, a file named T08_21_29
was saved at 8:21:29 am.

Figure 124: Selecting a Backup File

Press: Next >


Prompt: Machine Variables were loaded successfully.
Press: Next > . . . to exit to windows

Compare
Choosing this option will proceed to a new menu and the user will have the option to compare
machine variables (as currently stored in memory or from a file) against those stored in another
file. The system controller will then create a report of the differences in machine variables and
print it to the print monitor. This option is useful to determine what variables have been changed
recently, and by how much.
Process:
Select: Compare . . . using the touch screen or trackball
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 178
Operation Machine Variables

The GUI shown in Figure 125 will be displayed. There are four ways to proceed, as documented
below:

Figure 125: Machine Variable File Comparison Options

1. Memory to Default File:

NOTE . . .
THIS OPTION WILL COMPARE THE MACHINE VARIABLES RESIDENT IN MEMORY TO THE MACHINE VARIABLE FILE
AS IT IS STORED ON THE HARD DRIVE.

Select: Memory to Default File . . . using the touch screen or trackball


Press: Next >
Prompt: Compared successfully. See Print Monitor.
Press: Next >
Press: Print Monitor . . . to view the report, an example is seen in Figure 126.
Note that only the differences are reported.

Figure 126: Memory to Default File Compare Result

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 179
Operation Machine Variables

Press: Done . . . to exit the print monitor


2. Memory to Any File:

NOTE . . .
THIS OPTION WILL COMPARE THE MACHINE VARIABLES RESIDENT IN MEMORY TO THE MACHINE VARIABLES IN A
DESIGNATED FILE.

Select: Memory to Any File . . . using the touch screen or trackball


Press: Next >
Do: Using the navigation features, locate and select the file to be compared to,
as shown in Figure 127.

Figure 127: Select A File to Compare

Drive Button

Open Folder Button

Selects first file in list.


Selects previous file in list
Selects next file in list

Selects last file in list

Prompt: Compared successfully. See Print Monitor.


Press: Next >
Press: Print Monitor . . . to view the report.
Press: Done . . . to exit the print monitor
3. Default File to Any File:

NOTE . . .
THIS OPTION WILL COMPARE THE MACHINE VARIABLE FILE, AS IT IS STORED ON THE HARD DRIVE, TO THE
MACHINE VARIABLES IN A DESIGNATED FILE.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 180
Operation Machine Variables

Select: Default File to Any File . . . using the touch screen or trackball
Press: Next >
Do: Using the navigation features, locate and select the file to be compared to.
Prompt: Compared successfully. See Print Monitor.
Press: Next >
Press: Print Monitor . . . to view the report.
Press: Done . . . to exit the print monitor
4. Any File to Any File:

NOTE . . .
THIS OPTION WILL COMPARE THE MACHINE VARIABLES IN ONE DESIGNATED FILE TO THE MACHINE VARIABLES
IN ANOTHER DESIGNATED FILE.

Select: Any File to Any File . . . using the touch screen or trackball
Press: Next >
Prompt: Select the first of two Files to Compare.
Do: Using the navigation features, locate and select the first file to be compared.
Press: Next >
Prompt: Select the second of two Files to Compare.
Do: Using the navigation features, locate and select the second file.
Press: Next >
Prompt: Compared successfully. See Print Monitor.
Press: Next >
Press: Print Monitor . . . to view the report.
Press: Done . . . to exit the print monitor

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 181
Operation User Configuration Menu

User Configuration Menu


The user configuration menu is designed to allow the user to customize the appearance and
sequence of certain machine operations.

Figure 128: User Configuration Menu

All user configuration settings are defined in Appendix B - User Configuration.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 182
Operation Setup Tools

Setup Tools
Figure 129: Setup Tools (Composite of Multiple Screens)

Many of the setup tools are useful only to service personnel. There are some setup tools that
are useful to the user, as described below:

Alignment Tools
This group of tools are used primarily for setup, and diagnostics of the alignment system.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 183
Operation Setup Tools

Chuck Tools
Figure 130: Chuck Tools

This group of tools are used primarily for chuck maintenance, setup, and diagnostics.
Initialize Chuck Subsystem tool is used to restore the chuck to normal operation after
cleaning the chuck.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 184
Operation Setup Tools

Data Tools
Figure 131: Data Tools List (Composite of Multiple Screens)

Compare Files
This feature compares persistent files.

Figure 132: Compare Files Selected

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 185
Operation Setup Tools

Four persistent file types are available, Machine Variables, Process Programs, User Configs and
Newport System.ini.

Figure 133: Compare Files

Once you have selected the type of persistent file, you will have the options presented below.
Machine Variables and User Configurations have the same options available.

Figure 134: Machine Variable and User Configs File Comparison

The option “Memory” refers to the values currently loaded in memory. “Default File” is the file
loaded by default when the program first starts. “Any File” allow you to select a file.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 186
Operation Setup Tools

The options presented for Process Programs and Newport System.ini are different than for
Machine Variables and User Configurations. When the program first starts, no Process Program
is loaded into memory. Until you load a Process Program, there is only one option and that is to
select both files for comparison. For Newport System.ini, this is the only option.

Figure 135: Process Program File Comparison with No PP Loaded

Once you load a Process Program, a second option appears that allow you to compare it to any
other file that you select.

Figure 136: Process Program File Comparison with PP Loaded

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 187
Operation Setup Tools

If you select an option with “Any File” in it, you are required to select the file using our file
selection panel.

Figure 137: Select a File to Compare

If you select the “Any File to Any File” option, this panel will be presented to you twice.
Once the comparison is complete, you will see the following wizard step. At any time after this,
you can select the Print Monitor to view the results.

Figure 138: Comparison Complete

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 188
Operation Setup Tools

The results will look something like those shown below.

The results will always have a banner first and a border with a time stamp at the end. They will
also have the full paths to the files that were compared. If you select an option that includes
“Memory” then File1 will show Memory instead of the full path. The remainders of the results
are the differences between the files. If a Setting is not in one file but is in the other, then you
will see UNDEF for one of the Values.

Load Patch File


Patch files are provided by Ultratech and may be used to enable special features or provide fixes
to features. To load a patch file, follow this general process:
Press: Setup Tools . . . from the setup menu
Select: Data Tools . . . from the list of tools
Press: Next >
Select: Load Patch File . . . from the list
Press: Next >
Do: Using the navigation features, locate and select the patch file
Press: Next > . . . to load the patch
Do: Respond to any prompts as needed
Press: Exit . . . as many times as needed to return to the setup menu

NOTE . . .
THERE WILL BE SPECIFIC INSTRUCTIONS FROM ULTRATECH WHEN A PATCH FILE IS REQUIRED FOR A FIX OR
FEATURE ENABLE.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 189
Operation Setup Tools

Edit Registry Settings


The use of this tool is described in “Edit Registry Settings” on page 210.

Software Options
Software options are special features that may be purchased from Ultratech. In general, when
a software option is disabled for a specific feature, the user is warned during setting up, and
the option is blocked during execution of that feature. To access software options:
Press: Setup Tools . . . from the setup menu
Select: Data Tools . . . from the list of tools
Press: Next >
Select: Software Options . . . from the list
Press: Next >
The two-column list that this table displays enumerates the individual Software Options and
their current state. The state of a Software Option is either “Disabled” or “Enabled” through date
(MM/DD/YYYY). Refer to Figure 139.

Figure 139: Software Options Table

There are five command buttons on the left that allow the user to interact with the table and
modify the current Software Option:

Print Request . . . This command button will print a Software Options Purchase
Request Form to the print file, which the user can submit to Ultratech to purchase or
extend Software Option License Agreements. A sample of the form is shown below.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 190
Operation Setup Tools

********************************************************************************
Software Options Purchase Request Form
********************************************************************************
Ultratech, Inc.
Ultratech AP300
Equipment Controller Software
Product Part Number: 08-25-06498 Rev. A
(Source Code Version: 4.30 Build X5)
Copyright © 1998-2008 Ultratech, Inc.
Machine Serial # 0
Dongle # 1
Boot Date: 03/02/2009 15:40:27
********************************************************************************
Customer Information:
Company Name:___________________________________________
Address:________________________________________________
________________________________________________
________________________________________________
________________________________________________
Phone Number:___________________________________________
Fax Number:_____________________________________________
Email Address:__________________________________________
Purchase Order Number:__________________________________

Note: Mark the Software Options being purchased and fill in New Through Date.

Software Option Current Through Date New Through Date


--------------- -------------------- ----------------
[ ] Auto KT....................................................________________
[ ] Edge Exposure..............................................________________
[ ] Focus Mode LR..............................................________________
[ ] Focus Mode LR+SPF..........................................________________
[ ] Focus Mode SPF.............................................________________
[ ] Focus Mode SPF+Missing Bar.................................________________
[ ] Focus Mode Two Step........................................________________
[ ] Focus Mode X Bar Tilt......................................________________
[ ] Focus Mode XY Bar Tilt.....................................________________
[ ] MVS Manual Align...........................................________________
[ ] Reticle Field Voting.......................................________________
[ ] Soft Vacuum Only...........................................________________
[ ] Step Specific Processing Modes..........04/30/2020.........________________
[ ] Topside EGA.............................01/01/2020.........________________
[ ] Allow 300mm.............................01/01/2020.........________________
[ ] MVS On Axis Flat Alignment.................................________________
[ ] Focus Mode Grid Focus......................................________________
[ ] Throughput 1...............................................________________

Special Instructions to Customer Service:_______________________________________


________________________________________________________________________________
________________________________________________________________________________
________________________________________________________________________________
________________________________________________________________________________

Mail Request to:


Ultratech, Inc.
Customer Service
3050 Zanker Rd.
San Jose, CA 95134 --> OR Call Customer Service at 800-222-1213 <--

********************************************************************************

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 191
Operation Setup Tools

Load Option . . . This command button will start a wizard that allows the user to enter
an encrypted enablement string manually. Follow the prompts in the wizard.
Examples follow.

Figure 140: Load Individual Software Options

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 192
Operation Setup Tools

Figure 141: Selecting a Software Option

Figure 142: Entering Encryption String

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 193
Operation Setup Tools

Figure 143: Validated Encryption String

Load File . . . This command button will start a wizard that allows the user to load a
software options file. A software options file can contain multiple software options
and their enablement strings. The contents of a sample software options file is shown
below:
[Software Options]
Demo Program=545156535353A5B555555555555752FE
Focus Mode LR+SPF=5056555D505FA3B55555555555575B8B
Focus Mode SPF+Missing Bar=5056555D505FA3B55555555555575B72

Loading a software options file only updates the state of those software options it
contains. For example, if the sample file shown above is loaded, and the Soft Vacuum
Only Option was already enabled, then Soft Vacuum Only would remain enabled.

Abort . . . This command button will exit the table without writing any changes out to
the software options file that is read at system start up. Any changes that were made
will remain in effect until the next system start up.

Done . . . This command button will exit the table and write any changes out to the
software options file that is read at system start up.

Backup Critical Files


Critical files backup is detailed in “Critical Files Backup” on page 215.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 194
Operation Setup Tools

Process Program Tools


Refer to “Process Program Tools” on page 204.

Focus Tools
This group provides access to the Short Step Focus routine, which is described in “Short Step
Focus Routine” on page 538. Access is also provided to Focus Diagnostics, described in the
Preventive Maintenance manual.

Illuminator/Optics Tools
This group provides access to routines for exposure calibration and uniformity checks, which are
described in the Preventive Maintenance manual.

Material Handler Tools


This group of tools are mostly used for loader maintenance, setup, and diagnostics.

MVS Tools
This group of tools is used for MVS system setup, calibration and diagnostics.

Reticle Tools
This group of tools is used for reticle stage setup, calibration and diagnostics.

Wafer Stage Tools


This group of tools are used for wafer stage maintenance, setup, and diagnostics.

Aperture Tools
This group of tools are used for aperture setup and diagnostics.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 195
Operation Setup Tools

Throughput Tools
Figure 144: Throughput Tools

Provides a way to create throughput reports and reset the throughput counter.

Focus Head Tools


This group of tools are used for focus head initialization and diagnostics.

Lens Tools
This tool is used for replacement of the lens pellicle.

Runmode Test Tools


This tool set’s option Process Wafer may be used to process a wafer sitting on the chuck,
without creating a single wafer job. Note that the process program data and the reticle must be
loaded first.

Firmware Management Tools


Firmware upgrades typically are required only with new revisions of software. The process is
described in the release notes accompanying a software release requiring a firmware upgrade.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 196
Operation Setup Tools

Theta Stage Tools


This tool is used for theta stage calibration.

Tester
This set of tools are provided to test critical input/output devices.

User Login Management


Refer to “User Login Management” on page 115.

Manual Assist
This provides an alternate way of entering Manual Assist, which is described in “Manual Assist”
on page 143.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 197
Operation Variables Editor

Variables Editor
The variables editor provides a convenient way of viewing and modifying machine variables,
process program data and user configuration variables in one location.
Accessible from the setup menu and from manual assist mode, the variables editor (see
Figure 145) allows the user to view and change variables.

Figure 145: Variables Editor Entry Screen

The types of variables accessible from this screen are described below:

Machine Configuration . . . Variables that define the operating parameters of hardware


subsystems within the machine. All of the variables contained in this section are described
in Appendix A - Machine Configuration.

User Configuration . . . Variables that control how the software reacts to certain conditions
and errors. User configuration settings also allow the user to customize the flow of the user
interface, and levels of access to different functions. All of the variables contained in this
section are described in Appendix B - User Configuration.

Process Program Data . . . Variables that define key processing information about the wafer
and reticle; including size, number and location of images, step size, desired reticle and field,
eye fix, and location of EGA sites. This feature requires that a process program be loaded
into memory. To load a process program, refer to “Load Process Program” on page 204. This
feature allows the user, of the proper authority, to view and edit process program
parameters. All of the variables contained in this section are described in Appendix C -
Process Programs.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 198
Operation Variables Editor

System Hardware Configuration . . . Defines the hardware present in the tool. These
settings are made during tool manufacturing, and must not be changed except by trained
service technicians.

Firmware and Software Versions . . . Provides a printable report of the system software and
hardware subsystem firmware versions.
By selecting certain items from the drop-down menu, current system configurations and options
are listed. The buttons located to the left of the menu screen control the actions available within
this menu. The Search, Find, and Calculator buttons beneath the menu enable location,
calculation and assignment of variables to be changed. Data can be entered into a numerical
field through either direct entry or calculation.

CAUTION
MACHINE CONFIGURATION AND SYSTEM HARDWARE CONFIGURATION VARIABLES
SHOULD ONLY BE EDITED BY TRAINED SERVICE TECHNICIANS. AN IMPROPER
SETTING IN A MACHINE VARIABLE MAY CAUSE DAMAGE TO THE EQUIPMENT.

Button Functions
Print . . . Sends the variables listed in the currently viewed table to the print monitor.

Restore . . . This restores the highlighted setting to the value it had when this table was
opened.

Restore All . . . Restores any changed settings within the current table to the values they
contained when this table was opened. In other words, to the values that reside in memory.

Load . . . Loads the type of variable file that is highlighted in the drop-down menu or that
contains the currently viewed table. For example, if “User Configuration” is highlighted or
the “Prompts” table is being viewed, this button will initiate a sequence to choose and load
a User Configuration variable file.

Save . . . Saves the type of variable file that is highlighted in the drop-down menu or that
contains the currently viewed table. For example, if “Process Program Data” is highlighted
or the “Process Program Settings” table is being viewed, this button will initiate a sequence
to save the process program data variables, as they reside in memory, including any values
changed in the currently viewed table, to a disk file.

Abort . . . Exits the currently viewed table without writing to memory any changes made in the
current table.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 199
Operation Variables Editor

Done . . . Exits the currently viewed table. If changes were made while the table was opened,
the user will be prompted, as shown in Figure 146, to press Yes to write the changes to
memory, or press No to discard the changes (same as Abort), or press Cancel to return to
the table.

Figure 146: Settings Have Changed

NOTE . . .
THE PROMPT SHOWN IN Figure 146 WILL ALSO APPEAR IF THE USER HAS MADE ANY CHANGES TO A VIEWED
TABLE, AND THEN SWITCHES TO ANOTHER TABLE THROUGH THE DROP-DOWN MENU.

Due to the structure of the editor, a given variable may have three different values. There is the
value the variable has in the file, which is only changed when the user uses the “Save” button.
There is the value the variable has in memory, which is only changed when the user uses the
“Done” button or switches to another table, and then answers “Yes” at the prompt shown in
Figure 146. Then there is the value that the user has entered while viewing the table. This
changed value can be updated to memory using the “Done” button or switching to another
table, and then answering “Yes” at the prompt shown in Figure 146. Alternately, a changed
value can be written to the file using “Save”, or can be discarded using “Abort”.
Let’s examine an example of a given variable having three values. The user opens the variables
editor for the first time after the tool has been initialized. The user then opens the Machine
Configuration table “Storage Management”. Upon viewing this table, the “Days To Keep
MachVar Backup Data” value is 180. The user then changes this value to 240, and then exits
the table using the “Done” and “Yes” buttons. Then the user reopens the table and changes the
“Days To Keep MachVar Backup Data” value to 90. At this moment, the file value is 180,

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 200
Operation Variables Editor

the memory value is 240, and the viewed value is 90. If the user now presses the “Abort”
button, then reenters the variables editor, selects “Machine Configuration” from the drop-down
list and then presses the “Save” button, the value in memory, 240, will be saved to the file. If
the Save button is pressed while the table is open, with the “Days To Keep MachVar Backup
Data” value at 90, 90 will be written to the disk file.

Mode Buttons
Search . . . By typing a text string into the “Search Text” text box, and then pressing the “Find”
key, a list of all the variables in the current branch (for example - Machine Configuration)
containing that string is shown. An example of a search for “stage” is given in Figure 147.
After the results of the search is displayed, double-clicking on a result row will bring up the
table containing that variable. To return to the search results, select the search group from
the drop-down list.

Figure 147: Search Results for “stage”

Calculator . . . The calculator may be used in two modes, as described below.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 201
Operation Variables Editor

Direct-entry . . . Any valid formula, using numerical data, may be entered in the “Enter
Formula” text box for calculation. Pressing “Calculate” will compute and display the
result. An example of a simple formula calculation is given in Figure 148. If the “Assign”
button is pressed, the result of the calculation will be assigned to the variable highlighted
in green.

Figure 148: Simple Formula Example

Variable Reference . . . By starting out the formula with an “=”, variable references may
be made. To use this feature, first select the field to update, then click in the “Enter
Formula” field and type =. The previously selected field will turn blue, indicating that it
is the assignment field. Next click on a variable to be referenced, it will turn green, as
shown in Figure 149. The value of the variable highlighted in green will appear in the

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 202
Operation Variables Editor

“Enter Formula” field. Now complete the formula with a math operator, and a typed
value, or select another variable. When the formula is complete, press “Calculate”. If the
value is as desired, press “Assign”.

Figure 149: Referenced Variable Calculation Example

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 203
Operation Process Program Tools

Process Program Tools


Process program tools, one of the tool sets available from the setup menu’s “Setup Tools”
button, allows the user to select four options, as described below:

Load Process Program


This option allows the user to load a process program into memory, so that it may be viewed or
edited with the process program data editor. To load a process program:
Press: Setup Menu . . . from the main menu
Press: Setup Tools . . . to access a list of setup tools
Select: Process Program Tools . . . from the list
Press: Next >
Select: Load Process Program . . . from the list
Press: Next >
Do: Using the navigation features, locate and select the process program file to
load
Press: Next > . . . to load the file
Press: Exit . . . as many times as needed to return to the setup menu

Download Process Program


This option downloads a process program from the host. For this option to function, the tool
must be Online, in Local Mode. Refer to “GEM Screen” on page 58. To download a process
program:
Press: Setup Menu . . . from the main menu
Press: Setup Tools . . . to access a list of setup tools
Select: Process Program Tools . . . from the list
Press: Next >
Select: Download Process Program . . . from the list
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 204
Operation Process Program Tools

Type: <Download file name> . . . in the text box, see Figure 150.

Figure 150: Enter Download File Name

Press: Next > . . . to download the file

Upload Process Program


This option uploads a process program from a local drive to the host. For this option to function,
the tool must be Online, in Local Mode. Refer to “GEM Screen” on page 58. To upload a process
program:
Press: Setup Menu . . . from the main menu
Press: Setup Tools . . . to access a list of setup tools
Select: Process Program Tools . . . from the list
Press: Next >
Select: Upload Process Program . . . from the list
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 205
Operation Process Program Tools

Do: Using the navigation features, locate and select the process program file to
upload, see Figure 151.

Figure 151: Selecting a File to Upload

Press: Next > . . . to upload the file

Delete Local Process Program


This function will delete a process program file from a local drive.

CAUTION
BEFORE DELETING A PROCESS PROGRAM FILE FROM THE LOCAL DRIVE, EITHER
ENSURE THE FILE IS NO LONGER NEEDED, OR UPLOAD THE FILE TO THE HOST
FIRST.

To delete a local process program:


Press: Setup Menu . . . from the main menu
Press: Setup Tools . . . to access a list of setup tools
Select: Process Program Tools . . . from the list
Press: Next >
Select: Delete Local Process Program . . . from the list
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 206
Operation Process Program Tools

Do: Using the navigation features, locate and select the process program file to
delete, see Figure 152.

Figure 152: Deleting a Local Process Program File

Press: Next > . . . to delete the file

Overlay Offsets
This tool will allow the user to adjust the machine specific (Z-array) MVS offsets for a specified
reticle field, only if the machine has a customer serial number. The actual variables affected
are: “Left target overlay MVS offset for X and Y scan fld x” and “Right target overlay MVS offset
for X and Y scan fld x”. The values input during the routine are added to any existing values in
the process program. The theta value input will have an effect upon the individual “Y” offsets.
Access to this routine is through the path:
Setup Menu > Setup Tools > Process Program Tools > Enter OverLay Offsets.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 207
Operation Process Program Tools

See Figure 153 through Figure 155.

Figure 153: Enter Overlay Offsets Tool

Press: Next > . . . to proceed to the next screen:

Figure 154: Select A Field


(Will Not Appear If Process Program Specifies A Single Field)

Select: <desired reticle field> . . . from the list

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 208
Operation Process Program Tools

Press: Next > . . . The following screen appears:

Figure 155: Entering The Offsets

Enter: <offset values in mm. . . . into the text boxes


Press: Next >
Press: Exit . . . twice to return to the setup menu
Press: Done . . . to return to the main menu
The offsets will be applied immediately, but will not be saved to the process program. To save
the process program, use the “Save” button from the “Variables” menu.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 209
Operation Edit Registry Settings

Edit Registry Settings


The registry is a group of software settings, primarily specifying drives and directories where
files are located. Editing these locations is required when the user desires to use a drive or
directory that is not one of the default settings.

CAUTION
THE REGISTRY SHOULD ONLY BE EDITED BY ULTRATECH SERVICE OR
APPLICATIONS ENGINEERS, OR BY A QUALIFIED NETWORK ADMINISTRATOR.

To Access the Registry


From the main menu:
Press: Setup Menu . . . to select the setup menu
Press: Setup Tools . . . to access a list of setup tools
Select: Data Tools . . . from the list
Press: Next >
Select: Edit Registry Settings . . . from the list
Press: Next >
Select: Edit Individual Settings . . . from the list
Press: Next >
Prompt: “Please select from one of the following categories.” . . . as shown in
Figure 156.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 210
Operation Edit Registry Settings

The choices available are described in the following sections.

Figure 156: Registry Settings Selections (Composite of Multiple Screens)

Process Program Data


Defines the directory for process program files that will appear after pressing “Browse” during
the “Create Job” operation, or using the “Load Process Program” tool. Any local directory can
be specified. Network directories may also be specified, as long as the network drive has first
been mapped in Windows, by a network administrator.

Machine Variables
Four functions are available here, as described below.

Edit Drive
Defines the drive and directory for the system drive and user drive. The Ultratech defined
settings are C:\Program Files\Ultratech Equipment\Software for the System drive and
A:\Software for the User drive. As with process program data, any local or mapped network
directory can be specified for each location.
This setting will indicate where the active machine variable file will be saved.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 211
Operation Edit Registry Settings

Set Default Drive


Allows the user to select either the system drive or the user drive as the default drive. The
Ultratech defined setting is “System Drive.”

Set Backup Drive


Defines the drive and directory for the backup drive. This directory will be written to each time
the machine variables are saved, creating a new backup copy of the machine variables at that
time. This can be used to compare current settings to historical values.
The Ultratech defined setting is C:\Program Files\Ultratech Equipment\Software\backups. As
with process program data, any local or mapped network directory can be specified for each
location.

CAUTION
MACHINE VARIABLES ARE CRITICAL TO THE FUNCTION OF THE SYSTEM. TO
MINIMIZE THE CHANCE OF THE LOSS OF A RESTORABLE FILE, ALWAYS SPECIFY A
DIFFERENT DRIVE FOR SYSTEM, USER, AND BACKUP LOCATIONS. FOR EXAMPLE,
LEAVE THE SYSTEM PATH DEFINED AS C:\PROGRAM FILES\ULTRATECH
EQUIPMENT\SOFTWARE\DATA, SET THE USER DRIVE TO D:\, AND SET THE
BACKUP TO A MAPPED NETWORK DRIVE.

Delete Backup Drive


Selecting this option will delete the location of the backup drive from the registry. It does not
ask for a confirmation. If the backup drive location is deleted unintentionally, it must be
redefined using “Set Default Drive”.

User Configuration
Defines the drive, directory and file name for the default user configuration file. As with process
program data, any local or mapped network directory can be specified as the location.

Diagnostics
Certain Ultratech diagnostic programs (historically called RunMode 8 programs) output data, in
a database or other format. This setting specifies the location of the output files.

Machine Vision System


Settings are available to specify the directories for MVS pattern and bitmap files. It is
recommended to leave these settings at the defaults.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 212
Operation Edit Registry Settings

Printouts
When any data is sent to the print monitor, it is appended to a text file. The text file is written,
with a date-coded filename, to the directory specified here. As with process program data, any
local or mapped network directory can be specified as the location of these files. The number
of days that the print monitor text files are retained is specified in the machine configuration
setting: “Days To Keep ... Data(base)” on page 673.

Passwords
If the user configuration specifies that a password is required for login, this setting specifies the
location of the password database. If there is more than one Ultratech system in the facility, it
would be advisable to specify the same network drive and file for all systems. In this manner,
there would be only one database to maintain.

Miscellaneous
This setting allows the user to specify the location of patch files, the temp directory, critical files
backup directory, MVS capture images and debug logs backup directory. Patch files are used to
enable or customize features. Temp files are temporary use files created during various
operations. Critical files backup is detailed in “Critical Files Backup” on page 215.

Host Data
This setting specifies the directory that the host will use as the base directory for process
program activities such as upload/download/ppselect. The path the host specifies for a given
process program will be appended to this directory.

Data Collection Database


This setting specifies the directory where the data collection database is stored.

Resetting the Registry


To set all registry settings to the default settings:
From the main menu:
Press: Setup Menu . . . to select the setup menu
Press: Setup Tools . . . to access a list of setup tools
Select: Data Tools . . . from the list
Press: Next >
Select: Edit Registry Settings . . . from the list
Press: Next >
Select: Reset All Settings To Default . . . from the list
Press: Next >
Prompt: “Are you sure you want to reset all settings to their default value?
Press: Yes

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 213
Operation Edit Registry Settings

Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 214
Operation Critical Files Backup

Critical Files Backup


The following topics are covered in this section about the Critical File Backup feature:
z Overview
z Automated Backup
z Manual Backup
z Manual Restore
z Backup Root Directory
z Variable Settings
z Critical File Backup Procedures
z Creating and Editing User List of Critical Backup Files

Overview
Critical files are those files essential to system operations not installed with the system software,
are not part of the production ghost image, or are modified after installation. All files backed up
are listed in the system.cfb and user.cfb files.
The system.cfb file contains the list of critical files specified by Ultratech for backing up and
should not be modified by the user. The user.cfb file contains all user-defined files and is
optional.

Remote Systems
If critical file backups are mapped to remote file systems, then it is the user's responsibility
to establish and maintain the network connections and drive mappings to the systems.

system.cfb
The system.cfb file is created by Ultratech and specifies essential system and subsystem
files. This file is automatically installed with the equipment software to the following
location:
C:\ Program Files\Ultratech Equipment\Software\CriticalFilesBackup\Unity Litho\
system.cfb

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 215
Operation Critical Files Backup

Table 4 lists the critical files specified in the system.cfb file by Ultratech and should not be
edited:

Table 4: List of Critical Files for Back Up in system.cfb

Platform File Description


All All system Registry settings of the ECS
All All files in the Machine Variables backup folder
All Most recent backed up version of frond-end firmware data files
NOTE: It is the user's responsibility to maintain any updated front-end (GIFT)
firmware data files on the PC.
All All current Machine Variables file (xxxxx.machvar)
All All current user configuration file (xxxxx.userconfig)
All All current software option file (xxxxx.softopt)
All All Print Monitor files
All Password.mdb
All storagemanagement.mdb
All EventReports2.mdb
All GIFTConfig.xml
All GEMConst.ini
All GemRPT_FabEquipment.ini
All Preferences.ini
All Preferences.xml
All Sdr_HSMS.cfg
All Sdr_SecsI.cfg
All EventLog.mdb
All ReportsConfig.xls
AP System.ini
AP Currently installed firmware for each subsystem and associated configure files
AP absposplates.mdb (diagnostic data)
AP stepperselfcalibration.mdb (diagnostic data)
AP stepperselfcalibrationsetup.mdb (diagnostic data)
AP All files in the Vision\Patterns folder (MVS pattern files)
AP GIFTConfigL.xml
AP GIFTConfigR.xml
AP GIFTConfigT.xml
AP GIFTConfigLT.xml
AP GIFTConfigRT.xml
All SignalTower_*.xml (signal tower configuration files)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 216
Operation Critical Files Backup

user.cfb
For critical files not specified in the system.cfb file, users can optionally specify additional
files for back up. See “Creating and Editing User List of Critical Backup Files” on page 233.

Back Up of Registry Settings


During each Critical File Backup process, all ECS Registry settings are backed up and stored
in a file called SecaRegistry.dat. This file is placed in the folder that is automatically created
for each backup. The backup folder is named by the date and time of the backup and placed
in the directory defined in the Registry for Critical File Backup, as shown in Figure 157.

Figure 157: Backed Up Registry Settings Location

During a restore process, all Registry settings in SecaRegistry.dat will be registered to the
PC.

Report Log
During each Critical File Backup process, a log file is created for that backup and is named
“report.log.” This file is placed in the same folder as the SecaRegistry.dat file, which is
automatically created for each backup. The backup folder is named by the date and time of
the backup and placed in the directory defined in the Registry for Critical File Backup, as
shown in Figure 157.
The report.log file contains the following information:
„ Machine name
„ Date and time the backup is started

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 217
Operation Critical Files Backup

„ Date and time the backup ends


„ Files backed up
„ Files not backed up
„ Backup status for ECS Registry settings
Figure 158 shows an example of a report.log file. This example is for Unity Litho equipment,
so your report log may be somewhat different.

Figure 158: Report Log Example

Error Handling
The Automated Critical File Backup process is performed in the background at the scheduled
time. To avoid interruption of wafer processing, a notification type of alarm is posted if any
problem occurs during the backup procedure. The notification alarm does not stop wafer
processing. The error message (such as “the network is not connected” or “a file to back up
is not found”) will be sent to the Print Monitor. If any file specified to back up cannot be
found or failed to back up, the backup process will continue until all critical files specified in
system.cfb and user.cfb (if one exists) are performed. The backup alarm message can be
viewed at any time in the Print Monitor.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 218
Operation Critical Files Backup

Updated Firmware
The Firmware Management tools have also been enhanced to store a copy of updated
firmware and configuration in the appropriate folder on the PC for future back ups. This is
performed automatically when any subsystem firmware is updated. The firmware files on
the PC will be backed up during the automatic backup procedure. The Critical File Backup
feature does not communicate with subsystems.

Automated Backup

NOTE . . .
DEBUG LOGS ARE NOT INCLUDED AS PART OF THE AUTOMATED BACKUP FUNCTION. DEBUG LOGS WILL NEED TO
BE BACKED UP BY USING THE COLLECT DEBUG LOGS FUNCTION IN THE DATA TOOLS MENU. FOR DETAILS, SEE
“Debug Log Backup Feature” on page 241.

The Automated Critical File Backup feature provides a wizard to easily schedule automatic
backups of critical files based on a user-specified time. Users can change at any time the start
date, start time, and period for the automatic backup procedure.
Critical files listed for back up in the system.cfb file include all critical system and subsystem
files. These files are specified by Ultratech and should not be edited. Users can optionally define
additional files, such as Process Programs, for backing up in the user.cfb file.
The feature will also clean up out-dated backups automatically based on user specifications of
how much and how long to keep data. In addition, automatic backups can be configured to
remote locations via network access or to a second hard drive.

NOTE . . .
BACKUPS CANNOT BE PERFORMED AT THE SCHEDULED TIME IF THE SYSTEM SOFTWARE (ECS) IS NOT RUNNING
AT THAT TIME.

See “Scheduling Automatic Backups” on page 223 for details about how to use the wizard to
schedule automatic backups.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 219
Operation Critical Files Backup

Manual Backup
To run a manual backup, simply select Manual Backup from the Critical File Backup Tools
menu:

Figure 159: Manual Backup Tool

After selecting this tool, the backup is immediately performed without any further user
intervention.
You can access this tool by going to Setup Menu > Setup Tools > Data Tools > Critical
File Backup.

Manual Restore
Files can be restored manually using the Manual Restore wizard, which enables users to restore
any of the following:
z All files from the most recent backup
z All files from a selected backup
z A specific file from a selected backup
For details of the Manual Restore procedure, see “Restoring Backed Up Files” on page 225.
You can access the Manual Restore tool by going to Setup Menu > Setup Tools > Data
Tools > Critical File Backup.

Backup Root Directory


The Backup Root Directory tool enables you to define the location of the directory to be used
for both the automated and manual Critical File Backup procedures. For new systems, the
default backup directory is located at:
C:\Program Files\Ultratech Equipment\Software\CriticalFilesBackup

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 220
Operation Critical Files Backup

NOTE . . .
WE RECOMMEND THAT USERS BACK UP FILES TO A NETWORK DRIVE TO PRESERVE FILES IN THE EVENT OF A DISK
FAILURE. THUS, BACKING UP THE SYSTEM TO LOCAL DRIVES IS NOT RECOMMENDED.

The location of the backup root directory can be changed by using the Backup Root Directory
wizard in the Critical File Backup Tools menu:

Figure 160: Root Directory Backup Tool

The backup directory can be on the local hard drive or a network drive. For details about how
to define the backup root directory location, see “Defining the Critical File Backup Root
Directory” on page 232.
You can access the Backup Root Directory tool by going to Setup Menu > Setup Tools >
Data Tools > Critical File Backup.

Variable Settings
The Machine Configuration menu contains a variables table called Critical File Backup:

The Critical File Backup table contains the following settings:


z Enable Automated Critical File Backup

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 221
Operation Critical Files Backup

You can enable or disable whether critical files are backed up automatically by selecting one
of the following options from the drop-down menu:
„ True
If selected, you can define the start date, time, and period that files are backed up
automatically.
„ False
If selected, files can only be backed up manually.
z Start Date and Time for Automated Backup
Displays the date and time currently set for the Automated Backup feature if it is enabled.
This criteria can be edited via the Automated Backup wizard. You can access this wizard by
going to Setup Menu > Setup Tools > Data Tools > Critical File Backup Tools >
Automated Backup.
z Period for Automated Backup
Displays the time interval in days between each automatic backups if that feature is enabled.
This period can be edited via the Automated Backup wizard. You can access this wizard by
going to Setup Menu > Setup Tools > Data Tools > Critical File Backup Tools >
Automated Backup.

Critical File Backup Procedures


This section provides details of the following procedures related to the Critical File Backup
feature:
z Enable/Disable Automated Critical File Backup
z Scheduling Automatic Backups
z Restoring Backed Up Files
z Defining the Critical File Backup Root Directory
z Creating and Editing User List of Critical Backup Files

Enable/Disable Automated Critical File Backup


The Automated Critical File Backup feature is set as disabled by default. It can be enabled
or disabled at anytime through a Machine Configuration variable called Enable Automated
Critical File Backup. To enable or disable this feature, do the following steps.
1. Select Setup Menu > Variables > Machine Configuration > Critical File Backup:

2. Select the Enable Automated Critical File Backup setting.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 222
Operation Critical Files Backup

3. Select True (or False) from the drop-down menu.


If True was selected, you can now edit the date and time of automatic backups. See
Scheduling Automatic Backups. The other two variables display the start date, time, and
time interval currently set for automatic backups.

4. Click to set your change.

5. Save machine variables.

Scheduling Automatic Backups


You can schedule automatic backups of critical files or change the start date, start time, and
the period of automatic backups at any time by doing the following steps.
1. Navigate to Setup Menu > Setup Tools > Data Tools > Critical File Backup. The
Critical File Backup Tools menu appears:

2. Select Automated Backup, then click Next. The Automated Critical File Backup wizard
appears:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 223
Operation Critical Files Backup

3. Enter the year, month, and day that you want the automatic backups to begin, then click
Next. The following screen appears:

4. Enter the hour, minute, and second that you want automatic backups to begin, then click
Next. The following screen appears:

5. Enter the time interval in days between which automatic backups will occur, then click
Next. The following screen appears:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 224
Operation Critical Files Backup

6. Enter how many days to keep backup data and the minimum number of backups to keep.
The minimum number of backups overrides the number of days to keep the data if there is
conflict with the values entered.
7. Click Next to continue. The following screen appears asking if you would like to save the
changes to Machine Variables:

8. Select Yes, then click Next. The following screen appears confirming that the changes have
been saved to Machine Variables:

9. Click Next to return to the Critical File Backup Tools menu.

Restoring Backed Up Files


This section details how to restore backed up files and consists of the following methods:
„ Restoring All Files from Most Recent Backup
„ Restoring All Files from Selected Backup
„ Restore Specific File from Selected Backup

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 225
Operation Critical Files Backup

Restoring All Files from Most Recent Backup


This procedure describes how to restore all files from the most recent backup folder.
1. Navigate to Setup Menu > Setup Tools > Data Tools > Critical File Backup. The
Critical File Backup Tools menu appears:

2. Select Manual Restore, then click Next. The Backup Restoration menu appears:

3. Select Restore the Most Recent Backup, then click Next to start the restoration process.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 226
Operation Critical Files Backup

After the restoration has completed, the following screen appears confirming that the files
have been restored:

4. Select Yes, then click Next to restart the Equipment Control Software (ECS).

Restoring All Files from Selected Backup


If you want to restore all files from a selected backup folder, perform the following
procedure.
1. Navigate to Setup Menu > Setup Tools > Data Tools > Critical File Backup. The
Critical File Backup Tools menu appears:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 227
Operation Critical Files Backup

2. Select Manual Restore, then click Next. The Backup Restoration menu appears:

3. To restore all files from a selected backup date, do the following:


3.1 Select Restore from the Selected Backup, then click Next. The following screen
appears:

The name of each backup directory is named according to the backup time. In the
examples shown in the above image, the first backup directory named
“20070205_131143” was created at 1:11:43 pm on February 5, 2007.
3.2 Select from the Select Directory pane the backup directory from which you want to
restore files, then click Next to begin the restoration process.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 228
Operation Critical Files Backup

After the restoration has completed, the following screen appears confirming that the
files have been restored:

3.3 Select Yes, then click Next to restart the Equipment Control Software (ECS).

Restore Specific File from Selected Backup


To restore a specific file from a selected backup folder, perform the following procedure.
1. Navigate to Setup Menu > Setup Tools > Data Tools > Critical File Backup. The
Critical File Backup Tools menu appears:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 229
Operation Critical Files Backup

2. Select Manual Restore, then click Next. The Backup Restoration menu appears:

3. To restore a specific file from a selected backup directory, do the following:


3.1 Select Restore a Specific File from a Selected Backup, then click Next. The
following screen appears:

3.2 In the Select Directory pane, navigate to the backup folder from which you want to
restore a file from a specific folder. All backup folders are named according to the date
and time of the backup. Thus, for example, the folder named “20070205_131143” was
created at 1:11:43 pm on February 5, 2007.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 230
Operation Critical Files Backup

3.3 Expand the selected backup folder and drill down to the folder that you need. The files
contained within that folder appear in the Select File pane. In the following example, the
user wants to restore a file named “SecaRegistry.dat” from the 20090224-083439 folder:

3.4 Select the file in the Select File pane that you want to restore, then click Next to begin
the restoration process.
After the restoration is completed, the following screen appears confirming that the files
have been restored:

3.5 Select Yes, then click Next to restart the Equipment Control Software (ECS).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 231
Operation Critical Files Backup

Defining the Critical File Backup Root Directory


To set the location where the Critical File Backup root directory will store backup up files,
perform the following procedure.
1. Navigate to Setup Menu > Setup Tools > Data Tools > Critical File Backup. The
Critical File Backup Tools menu appears:

2. Select Backup Root Directory, then click Next. The Backup Root Directory wizard screen
appears:

3. Navigate to where you want the root directory for all manual or automated backups.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 232
Operation Critical Files Backup

4. Click Next. The following screen appears to confirm that the selected directory is what you
want:

5. Select Yes, then click Next to update the Registry setting for the name and location of this
backup directory for all manual or automated backups.
If you selected No, then clicked Next, the wizard returns to the previous screen so that you
can select another directory location.
6. Click Exit in this and the next two screens, then click Done to return to the Main Menu.

Creating and Editing User List of Critical Backup Files


The Critical File Backup utility includes a wizard for users to specify and edit a list of critical files
not included in the system’s Critical File Backup file (system.cfb). This wizard is called “Edit
user.cfb” and can be accessed by going to Setup Menu > Setup Tools > Data Tools >
Critical File Backup > Edit user.cfg:

Figure 161: Edit user.cfb Tool

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 233
Operation Critical Files Backup

Because the system.cfb file may not contain all of the files a user might want to back up, any
additional files specified for backed up are saved to a separate, user-created file called
“user.cfb.” Once created, the user.cfb file can be edited at any time to add or remove files from
the list. User-defined critical files for back up can be at any location.
The following procedures detail how to create and make changes to the user.cfb file.

Procedures
The following procedures are described in this section:
z Creating a User.CFB File
z Adding Files to Existing User.CFB File
z Removing Directories/Files from User.CFB
z Displaying Files Listed in User.CFB

Creating a User.CFB File


This procedure explains how to specify additional files for back up that are not including in
the Critical File Backup system file (system.cfb). A new user.cfb file will be created, and all
directories and files selected for back up will be saved to this file.
After creating the user.cfb file, you can use this procedure to add additional directories or
files for back up at any time.
1. Go to Setup Menu > Setup Tools > Data Tools > Critical File Backup.The Critical File
Backup Tools menu opens.
2. Select Edit user.cfb, then click Next:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 234
Operation Critical Files Backup

If a user.cfb file has not yet been created, the following menu appears:

If a user.cfb file has already been created, the following menu appears:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 235
Operation Critical Files Backup

3. Select Add to List, then click Next. The following screen appears:

4. Do the following steps to select a directory and/or a specific file:


4.1 Select the drive from the Select Drive drop-down menu where the folders and files are
located.
4.2 In the Select Directory pane, navigate to and select the directory that contains the
files to back up.
4.3 If you want to select only a specific file for back up (and not all files) in that directory,
select that file in the Select File pane. You can select only one file at a time.

NOTE . . .
FOR SAVING SELECTED MULTIPLE FILES IN THE SAME DIRECTORIES (BUT NOT ALL), REPEAT THIS PROCEDURE
FOR EACH FILE THAT YOU WANT INCLUDED IN THE USER.CFB FILE.

4.4 Click Next.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 236
Operation Critical Files Backup

4.4.1 If you selected a specific file, that file has now been saved in the user.cfb file, and
the Edit user.cfb screen reappears. The procedure has completed.
~ or ~
4.4.2 If you selected a directory and not a specific file, the following screen appears:

5. Select one of the three options, then click Next.


5.1 If you selected either All files under the directory and its subdirectories or All
files under the directory (not including subdirectories), the files and/or
subdirectories have been saved to the user.cfb file.
~ or ~
5.2 If you selected All files under the directory with same file extension, the following
screen appears:

5.3 Enter the extension into the File Extension field, then click Next. Files with that
extension will be saved in the user.cfb file.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 237
Operation Critical Files Backup

Adding Files to Existing User.CFB File


To add files to an existing user.cfb file, perform the procedure in “Creating a User.CFB File”
on page 234.

Removing Directories/Files from User.CFB


To remove directories and files from an existing user.cfb file, perform this procedure.
1. Go to Setup Menu > Setup Tools > Data Tools > Critical File Backup. The Critical
File Backup Tools menu opens.
2. Select Edit user.cfb, then click Next:

The Edit user.cfb menu appears.


3. Select Remove from List, then click Next:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 238
Operation Critical Files Backup

The following screen lists the directories and individual files that have been saved to the
user.cfb file:

4. Select a directory or file from the list, then click Next. The selected item has been removed
from the user.cfb file.
5. Repeat this procedure if you want additional items removed.

Displaying Files Listed in User.CFB


To display all files and directories that are listed in an existing user.cfb file, perform this
procedure.
1. Go to Setup Menu > Setup Tools > Data Tools > Critical File Backup. The Critical
File Backup Tools menu opens.
2. Select Edit user.cfb, then click Next:

The Edit user.cfb menu appears.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 239
Operation Critical Files Backup

3. Select Display List, then click Next:

The following screen lists the directories and individual files that have been saved to the
user.cfb file:

4. Click Next to exit.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 240
Operation Debug Log Backup Feature

Debug Log Backup Feature


A feature called Collect Debug Logs is included in the Data Tools menu that enables you to
back up debug logs. Debug logs are not included when backing up with the Automated Critical
File Backup functionality (for details, see “Critical Files Backup” on page 215). Therefore, this
feature enables you to back up the debug logs at any time.
You can access this feature by going to Setup Menu > Setup Tools > Data Tools:

Figure 162: Collect Debug Logs

A companion Registry Setting feature, Debug Logs Backup, allows you to define or to create
a directory in which to back up the debug logs.
This section covers the following topics:
z Defining the Debug Log Backup Directory
z Backing Up Debug Logs

Defining the Debug Log Backup Directory


Before backing up your debug logs, you will need to define which directory to be used for this
process. To create or define the debug log backup directory, perform the following procedure:
1. Go to Setup Menu > Setup Tools > Data Tools > Edit Registry Setting > Edit
Individual Settings > Miscellaneous. The Miscellaneous menu opens.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 241
Operation Debug Log Backup Feature

2. Select Debug Logs Backup, then click Next:

The Directory pane opens, which displays the default location of C:\ Program Files \
Ultratech Equipment \ Software \ DebugLogBackup:

NOTE . . .
WE RECOMMEND SETTING THE DEBUG LOG BACKUP DIRECTORY ON A NETWORK DRIVE AND NOT ON A LOCAL
DRIVE.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 242
Operation Debug Log Backup Feature

3. Select a drive from the Select Drive drop-down menu:

4. In the Select Directory pane, navigate to the location where you want the debug log backup
directory:

5. Do one of the following:


5.1 If the directory already exists, select that directory.

5.2 Go to Step 6.
~ or ~
5.3 To create a new directory, click the New Directory button:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 243
Operation Debug Log Backup Feature

5.4 Type a name for the directory in the Enter New Directory field:

5.5 Click OK to create the new directory. The new directory appears in the Select Directory
pane.
5.6 Click Next. A confirmation message appears asking if the folder selected is correct:

5.7 Select Yes.


6. Click Next.
7. Click Exit to each of the screens until you return to the Main Menu.

Backing Up Debug Logs


After a debug backup directory has been defined, you can start the backup process at any time.
This process is done manually, which is described below.
1. Go to Setup Menu > Setup Tools > Data Tools. The Data Tools menu opens.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 244
Operation Debug Log Backup Feature

2. Select Collect Debug Logs, then click Next:

The following wizard screen appears advising to back up front end firmware:

3. Do one of the following:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 245
Operation Debug Log Backup Feature

3.1 Click Exit to back up firmware.


~ or ~
3.2 Click Next to continue with the Debug Log Backup wizard. The following screen
appears:

4. Specify the start and ending dates of files to back up by doing the following:
4.1 Enter the date into the Start Date field to specify the oldest date of date-based files to
back up.
4.2 Enter the number of days into the Duration field to specify the date range, or the
number of days from the Start Date, that will be backed up.
4.3 Click Next. The following confirmation screen appears:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 246
Operation Debug Log Backup Feature

If the date range goes beyond the current date, the following message appears:

5. Click Next to continue with the back up, or click Back to adjust the date range.
If you clicked Next, the backup process begins. When it’s completed, the wizard screen
provides the results:

You can also view the results in the Print Monitor.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 247
Operation Wafer Handler Operation

Wafer Handler Operation

Safety Precautions
CAUTION
IF A WAFER BREAKS IN THE STEPPER, IMMEDIATELY PRESS “ABORT” ON SCREEN.
FAILURE TO STOP THE STAGES AND ROBOT IMMEDIATELY MAY CAUSE DAMAGE TO
THE XY STAGE AIR BEARINGS AND GRANITE OR THE ROBOT AIR BEARINGS AS THE
SYSTEM TRIES TO UNLOAD A WAFER. THOROUGHLY CLEAN ALL THE PROCESS
MODULE SURFACES OF WAFER CHIPS AND PARTICULATE MATTER BEFORE
RESUMING OPERATION.

WARNING
SERIOUS PERSONAL INJURY OR DEATH COULD RESULT FROM A PERSON
GETTING IN THE PATH OF ROBOT MOVEMENT. FOR THIS REASON, NEVER
OPERATE THE ROBOT WITH PANELS REMOVED AND INTERLOCKS
DEFEATED.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 248
Operation Wafer Handler Operation

Genmark FOUP System

Indicator Light Function


Refer to Figure 163.

Figure 163: Indicator Light Panel

Access Mode . . . (Red) Indicates auto mode when illuminated - pod placement and removal
will be automatic, using the facilities’ wafer transport system.

Presence . . . (Yellow) Indicates that a carrier has been sensed on the load port.

Placement . . . (Yellow) Indicates that a carrier is properly placed on the load port.

Action OK . . . (Green) Indicates that the carrier may be removed or placed by the operator.

Manually Loading and Unloading Pods


The pods are placed on the load port’s table. When properly placed, the “Presence” and
“Placement” indicators on the light panel above the pod will illuminate.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 249
Operation Wafer Handler Operation

After proper placement, the carrier’s ID is read. There are three types of carrier ID readers
possible: the default is a Hermos radio transmitter; options include Advan-Tag radio transmitter,
Smart-Tag infrared transmitter, and Keyence barcode reader. The type of reader on the system
is specified when the stepper is ordered.
Assuming the system is expecting a carrier, the carrier will be docked and opened. As the pod
door is opened, sensors on the door scan the pod, sensing wafer presence and checking for any
cross-slotted wafers.
When processing is complete, the door will close, the pod will be un-docked, and the “Action
OK” indicator will illuminate. The pod may be removed at this time.

Genmark FOSB System


Figure 164: FOSB Load Port

The FOSB system, shown in Figure 164, supports three sizes of wafers, 300 mm, 200 mm, and
150 mm.
300 mm wafer carriers are placed on the FOSB loadport, without using an adapter. 300 mm
carriers require the platform to be tilted while they are being loaded or unloaded, refer to
“Platform Orientation” on page 87.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 250
Operation Wafer Handler Operation

An adapter plate, shown in Figure 165, is supplied to permit the loading of 200 mm or 150 mm
carriers. The adapter plate is placed on the FOSB load platform, within the platform’s three
locator pins, and pressed down so that it rests fully on the platform. The adapter can be placed
on the platform in two directions. When the 200 mm label is facing inward, 200 mm carriers can
be loaded. When the 150 mm label is facing inward, 150 mm carriers can be loaded. For loading
and unloading of either 200 mm or 150 mm carriers, the FOSB platform should be level (Up),
refer to “Platform Orientation” on page 87.

Figure 165: FOSB Adapter Plate

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 251
Operation Wafer Handler Operation

TDK Loadports
The AP200/300 supports the TDK TAS300 Type E4 and E4a loadports, shown in the following
image:

Wafer Size
TDK loadports support the following wafer sizes in FOUP carriers:
z 8 inch (requires the AM-3005 insert)
z 12 inch

NOTE . . .
THE AM-3004 INSERT IS NOT SUPPORTED ON TDK LOADPORTS. IF THE AM-3004 INSERT IS NEEDED, THE
PORTA 300 LOADPORT SHOULD BE USED INSTEAD.

Indicator Lights Panel


All TDK loadports contain a configurable indicator panel. Each individual light can be physically
rearranged, and the color, order, and behaviors can be reconfigured. To configure these lights,
the TDK standalone application is required, and a customer RFQ must be submitted to Ultratech.
See “TDK Loadport Maintenance Program” in the AP200/300 Service Manual.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 252
Operation Wafer Handler Operation

Figure 166 illustrates a typical indicator panel on the front of the TDK loadport:

Figure 166: TDK Indicator Panel (Default Configuration)

The indicator panel default light configuration is described below:


z Load Ready (Green)
Indicates when the loadport is ready to be loaded.
z Unload Ready (Blue)
Indicates when the loadport is ready to be unloaded.
z Manual (Amber)
Indicates that the loadport is in the Manual Access mode, meaning that the carrier loading
and unloading is performed by the operator. Refer to “Manual Load/Unload Button”.
z Presence (Yellow)
Indicates that a carrier is present on the loadport.

NOTE . . .
LOADPORT CARRIER PLATFORMS CAN BE EQUIPPED WITH ISOLATOR PINS THAT ONLY ALLOW CERTAIN PODS TO
BE PLACED THERE. IF A CARRIER IS PLACED ON THE LOADPORT, AND THE PLACEMENT LIGHT WON’ T COME ON,
IT IS POSSIBLE THAT THE CARRIER IS NOT CONFIGURED THE SAME AS THE PLATFORM.

z Placement (Yellow)
Indicates the carrier was properly seated onto the loadport.
z Auto (Blue)
Indicates that the loadport is in Auto Access mode, meaning that the carrier loading and
unloading is done automatically by the facility material handling system, such as an
overhead track.
z Reserve (Yellow)
Indicates that the loadport is reserved by the host to load a specific carrier.
z Alarm (Red)
Indicates that an alarm condition is present on the loadport only. The alarm will stay lit until
the alarm condition is cleared.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 253
Operation Wafer Handler Operation

Manual Load/Unload Button


The TDK loadport provides a manual load/unload push button, labeled Op. Access, as shown in
Figure 167).

Figure 167: Operator Access Button

Above the Operator Access button is an indicator light. The default functioning behavior of the
indicator light is:
z Solid on
If the light is illuminated steadily (not blinking), this indicates that the operator can start
loading or unloading the carrier.
z Blinking
If the light is blinking, this indicates that loading or unloading is in progress.
z Off
If the light is not illuminated, this indicates that the carrier cannot be loaded or unloaded.
The Operator Access button is activated in the software by selecting the following options on
the Material In/Out tab of the Loader Setup screen (see Figure 168):

Manual In Type - Loading . . . Any of the three settings that specify either “Start - switch
press” or “End - switch press”

Manual Out Type - Unloading . . . Any of the three settings that specify either “Start -
switch press” or “End - switch press”

Manual Switch Type . . . Hardware Button

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 254
Operation Wafer Handler Operation

You can access these settings by going to Setup Menu > Setup Tools > Material Handler
Tools > Loader Setup > Material In/Out tab:

Figure 168: Settings for Activating the Operator Access Button

Loading and Unloading Scenarios


This section provides descriptions about all possible loading/unloading scenarios. These
scenarios depend on whether the Operator Access button or the timer is used as the trigger to
signal the start and end the loading/unloading process.

Loading Scenarios
This section describes possible loading scenarios. The following conditions can apply, based
on the configuration:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 255
Operation Wafer Handler Operation

„ Configurations are specified by equipment constants, which can be changed on the


Material I/O tab of the Loader Setup screen (see Figure 168) or by the host. These are
persistent settings (automatically saved as soon when they are changed).
„ Loading can be started by pushing the Operator Access button in Manual mode.
„ When in Auto mode, the operator is not required to press the Operator Access button to
begin or end the loading process, regardless of what the equipment constants are set to.
The following tables represent six possible loading scenarios:
With this first scenario, the operator presses the Operator Access button to start and to end
the loading process.

Table 5: Button Pressed to Start and End Loading Process

Operator Operator
PRESENCE PLACEMENT LOAD UNLOAD
Step Process Description Access Access
Indicator Indicator Indicator Indicator
Button Indicator
1 Ready to load Off Off On Off On
Off Off On Off On
2 Button is pressed to start Pressed Off Off On Off Flash
Off Off On Off Flash
3 Carrier is loaded On Off On Off Flash
On On Off Off Flash
4 Button is pressed to end Pressed On On Off Off Off
On On Off Off Off
5 Loading is completed On On Off Off Off

With the second scenario, the operator presses the Operator Access button to start the
loading process, loads the carrier, then the timer signals the end of the loading process.

Table 6: Button Pressed to Start Loading and Timer Signals End of Loading

Operator Operator
PRESENCE PLACEMENT LOAD UNLOAD
Step Process Description Access Access
Indicator Indicator Indicator Indicator
Button Indicator
1 Ready to load Off Off On Off On
Off Off On Off On
2 Button is pressed to Pressed Off Off On Off Flash
start
Off Off On Off Flash
3 Carrier is loaded (timer On Off On Off Flash
is triggered)
On On Off Off Flash

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 256
Operation Wafer Handler Operation

Table 6: Button Pressed to Start Loading and Timer Signals End of Loading (Continued)

Operator Operator
PRESENCE PLACEMENT LOAD UNLOAD
Step Process Description Access Access
Indicator Indicator Indicator Indicator
Button Indicator
4 Timer signals end On On Off Off Off
On On Off Off Off
5 Loading is completed On On Off Off Off

With the third scenario, the operator does not press the Operator Access button to begin or
end the loading process. However, after a carrier is loaded and because the presence of the
carrier triggers the timer, when the time runs out, the equipment prepares to process the
wafers.

Table 7: Button Not Pressed to Start or End and Timer Signals End of Loading

Operator Operator
PRESENCE PLACEMENT LOAD UNLOAD
Step Process Description Access Access
Indicator Indicator Indicator Indicator
Button Indicator
1 Ready to load Off Off On Off On
Off Off On Off On
2 Carrier is loaded and On Off On Off Flash
timer is triggered
On On Off Off Flash
3 Timer only signals end On On Off Off Off
On On Off Off Off
4 Loading is completed On On Off Off Off

With the fourth scenario, the carrier is loaded without pressing the Operator Access button
before loading, then the operator presses the button to signal that the loading process is
completed.

Table 8: Button Not Pressed to Start, then Button Pressed to End Loading

Operator Operator
PRESENCE PLACEMENT LOAD UNLOAD
Step Process Description Access Access
Indicator Indicator Indicator Indicator
Button Indicator
1 Ready to load Off Off On Off On
Off Off On Off On
2 Carrier is loaded (timer On Off On Off Flash
is triggered)
On On Off Off Flash

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 257
Operation Wafer Handler Operation

Table 8: Button Not Pressed to Start, then Button Pressed to End Loading (Continued)

Operator Operator
PRESENCE PLACEMENT LOAD UNLOAD
Step Process Description Access Access
Indicator Indicator Indicator Indicator
Button Indicator
3 Button is pressed to Pressed On On Off Off Off
signal end
On On Off Off Off
4 Loading is completed On On Off Off Off

With the fifth scenario, the operator presses the Operator Access button and loads a carrier,
then removes the carrier and presses the button again. In effect, this action cancels the
previous loading step, and the system resumes the “ready-to-load” state.

Table 9: Button Pressed to Start, then Button Pressed to Cancel Loading

Operator Operator
PRESENCE PLACEMENT LOAD UNLOAD
Step Process Description Access Access
Indicator Indicator Indicator Indicator
Button Indicator
1 Ready to load Off Off On Off On
Off Off On Off On
2 Button is pressed to Pressed Off Off On Off Flash
start loading
Off Off On Off Flash
3 Carrier is loaded On Off On Off Flash
On On Off Off Flash
4 Carrier is removed On Off On Off Flash
Off Off On Off Flash
5 Button is pressed Pressed Off Off On Off On
(cancels step 3)
6 Resumes ready-to- Off Off On Off On
load state

Unloading Scenarios
This section describes four possible unloading scenarios, which depend on whether the
Operator Access button is pressed or not to signal the start or end of unloading. The
following conditions can apply, based on the configuration:
„ Configurations are specified by equipment constants, which can be changed on the
Material I/O tab of the Loader Setup screen (see Figure 168 on page 255) or by the host.
These are persistent settings (automatically saved as soon when they are changed).
„ After an unloading operation is completed but a carrier is still in place, this will be treated
as though the unloading sequence has been cancelled (see Table 13). The equipment
will not allow a carrier-loading sequence to commence.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 258
Operation Wafer Handler Operation

„ After the equipment detects that the Operator Access button has been pressed or the
carrier has been removed, depending on the configuration, the unloading sequence will
begin.
„ When in Auto mode, it is not necessary for the operator to press the Operator Access
button.
The following tables represent four possible unloading scenarios, depending on the
configuration:
With this scenario, the operator presses the Operator Access button to start and to end the
unloading process. When the button is pressed after the carrier is removed (thus the
Presence sensor is off), the equipment prepares for loading, triggering the LOAD indicator
light to go on.

Table 10: Button Pressed to Start and End Unloading

Operator Operator
PRESENCE PLACEMENT LOAD UNLOAD
Step Process Description Access Access
Indicator Indicator Indicator Indicator
Button Indicator
1 Ready to unload On On Off On On
On On Off On On
2 Button is pressed to Pressed On On Off On Flash
start
On Off Off On Flash
3 Carrier is unloaded Off Off Off Off Flash
Off Off Off Off Flash
4 Button is pressed to Pressed Off Off Off Off Off
end (unloading is
completed)
Off Off Off Off Off
5 Ready to load Off Off On Off On

With the second scenario, the operator presses the Operator Access button to start
unloading but not to end, thus the timer signals the end of unloading. At this time, if the
Presence sensor detects no carrier present (is off), the equipment prepares for loading and
the LOAD indicator light goes on (see step 5).

Table 11: Button Pressed to Start but Not End of Unloading

Operator Operator
PRESENCE PLACEMENT LOAD UNLOAD
Step Process Description Access Access
Indicator Indicator Indicator Indicator
Button Indicator
1 Ready to unload On On Off On On
On On Off On On
2 Button is pressed to Pressed On On Off On Flash
start
On Off Off On Flash

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 259
Operation Wafer Handler Operation

Table 11: Button Pressed to Start but Not End of Unloading (Continued)

Operator Operator
PRESENCE PLACEMENT LOAD UNLOAD
Step Process Description Access Access
Indicator Indicator Indicator Indicator
Button Indicator
3 Carrier is unloaded Off Off Off Off Flash
(timer is triggered)
Off Off Off Off Flash
4 Timer signals end Off Off Off Off Off
(unloading is
completed)
Off Off Off Off Off
5 Ready to load Off Off On Off On

With the third scenario, the operator does not press the Operator Access button to start or
to end unloading, thus the timer signals the end of unloading. At the expiration of the
unloading time, if the Presence sensor detects no carrier present (is off), the equipment
prepares for loading and the LOAD indicator light goes on (see step 5).

Table 12: Button Not Pressed at Start or End of Unloading

Operator Operator
PRESENCE PLACEMENT LOAD UNLOAD
Step Process Description Access Access
Indicator Indicator Indicator Indicator
Button Indicator
1 Ready to unload On On Off On On
On Off Off On On
2 Carrier is unloaded Off Off Off Off Flash
(timer is triggered)
Off Off Off Off Flash
3 Timer signals end Off Off Off Off Off
(unloading is
completed)
Off Off Off Off Off
5 Ready to load Off Off On Off On

The fourth scenario describes a cancelling operation. The operator presses the Operator
Access button to start unloading, and the carrier is unloaded. However, before pressing the
button to signal the end of unloading (and before the timer time runs out), the operator

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 260
Operation Wafer Handler Operation

loads a carrier and then presses the button. This effectively cancels the previous unload
action, thus continuing the unloading state with the system indicating that the present
carrier needs to be unloaded.

Table 13: Cancel Unloading

Operator Operator
PRESENCE PLACEMENT LOAD UNLOAD
Step Process Description Access Access
Indicator Indicator Indicator Indicator
Button Indicator
1 Ready to unload On On Off On On
On On Off On On
2 Button is pressed to Pressed On On Off On Flash
start unloading
On Off Off On Flash
3 Carrier is unloaded Off Off Off Off Flash
Off Off Off Off Flash
4 Carrier is loaded On Off Off On Flash
before timer runs out
On On Off On Flash
5 Button is pressed (thus Pressed On On Off On On
cancelling step 3)
On On Off On On
6 Unloading is required On On Off On On

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 261
Operation Wafer Handler Operation

FOUP Unclamping Switch for Unloading


Normally, the FOUP unclamped immediately after it was undocked during the unload sequence.
However, an option, Wait for Switch Press to Unclamp, is available on the Material In/Out
tab of the Loader Setup screen (shown in Figure 169) to provide an option of when to unclamp
the FOUP during the unload sequence.

Figure 169: New Clamp Switch Option

Applicable only for local mode, the Wait for Switch Press to Unclamp option is active only
when the Carrier Load/Unload Boundary (in the Manual Out Type - Unloading box) is set to
one of the “Start - switch press” options. It applies to either software or hardware switches.

You can access the Switch Pressed to Unclamp option by going to Setup Menu > Setup
Tools > Loader Setup > Material In/Out tab.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 262
Operation Wafer Handler Operation

Figure 170 illustrates the unload sequence with this option active:

Figure 170: Unload Sequence - Wait for Switch Press to Unclamp

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 263
Operation Wafer Handler Operation

16‐character Carrier ID Support


The Setup section of the software has a tab intended for Carrier ID reader configuration. It
provides support for multi-page tags and 16 character ID support.

Figure 171: Carrier ID Reader Setup

The carrier ID reader configuration page is reached from the main menu through this path:
Setup Menu > Setup Tools > Material Handler Tools > Loader Setup. On this page, select the
Preferences > CID Readers tabs.
Supported readers include: Genmark, SmartTag, Hermos, Omron. Asyst IR SmartTag Readers
are now supported on Unity AP tools equipped with TDK loadports.
When the equipment is in operation mode, the following applies for the Omron V700-HMD13A
Tag Reader:
z 16-character carrier IDs are supported
z Reads carrier IDs at undocked positions
z Writes carrier IDs at undocked positions
z Functions in Local and Remote modes
z Complies with SEMI E99
The Carrier ID reader configuration allows specification of the Carrier ID length and its location
within the pages of the carrier tag. Each tag page can hold 8 characters. The Carrier ID location
group defines the number of characters for the ID and its offset with respect to the beginning
of the first page where the ID is located. The Tag Data Location group defines the first page
and the number of pages to be read from the tag.
The modification of the configuration requires that the button Edit is pressed first. It will enable
the access to the configuration fields. After the parameters are modified remember to press
Save button – it will make them persistent.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 264
Operation Wafer Handler Operation

Two buttons allow quick configuration for the two most common cases – 8 or 16 character IDs.
The first defines 8 characters ID starting at offset 0 and tag location starting at page 1 with 1
page to read. The 16 characters ID changes the carrier ID length to 16 and the number of pages
to 2.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 265
Operation Power Up Procedure

Power Up Procedure

Purpose
To power up the stepper and controller in the correct sequence, after a power down or power
failure.

When to Perform This Procedure


z Anytime power is being restored to the stepper system.
z After an unexpected power failure.

Equipment Required
z None

Before Performing This Procedure


z Not applicable

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 266
Operation Power Up Procedure

Procedure

Preparation
1. Turn OFF the Machine Main Power switch on the AC power control panel. Refer to
Figure 172.

Figure 172: E-Rack Power Panel

Environmental Chamber
2. Ensure that all EMO switches are reset.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 267
Operation Power Up Procedure

3. Ensure that the main power disconnect, at the back of the chamber’s left side, is in the ON
position. Refer to Figure 173. If not, place it at the on position by moving it to the up
position.

Figure 173: Chamber Main Power Switch

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 268
Operation Power Up Procedure

4. Press and hold the “CHAMBER START” button for 3 to 4 seconds. This button is located on
the operator control panel, on the front of the chamber near the operator interface. Refer
to Figure 174.

Figure 174: Operator Control Panel

MAIN CHAMBER CHAMBER


POWER LIGHTS

CHAMBER CHAMBER
START STOP

TEMPERATURE ALARM
ALARM STOP

MAIN SYSTEM INTERLOCK


POWER ON

OFF

SYSTEM ILLUMINATOR
ON ON

SYSTEM ILLUMINATOR
OFF OFF

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 269
Operation Power Up Procedure

Stepper
5. Ensure that all of the circuit breakers on the AC power control panel are turned on. Refer to
Figure 175.

Figure 175: E-Rack Power Panel

6. Turn ON the Machine Main Power Switch on the AC power control panel. Refer to Figure 175.
7. Ensure that the “MAIN SYSTEM POWER” indicator on the operator control panel is
illuminated. This serves as a verification of the “Main” circuit breaker’s ON status (CB1). See
Figure 174.
8. Press and hold the “SYSTEM ON” button for 1 second. See Figure 174.

Illuminator
9. Press and hold the “ILLUMINATOR ON” button for 1 second. Refer to Figure 174.
10. Toggle Illuminator controller power ON. For systems with dual lamp illuminator, both power
supplies should be turned on and then push the start buttons to turn ON both lamps.

Other Controllers
11. Ensure WEP controller is ON (if equipped). If not power it up.
12. Ensure WEE controller is ON (if equipped). If not power it up.
13. Ensure MVS controller is ON. If not power it up.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 270
Operation Power Up Procedure

UPS and System Controller


14. Ensure the “Online” indicator is illuminated on the UPS. If not, press the Power On/Test
button. Refer to Figure 176.

Figure 176: UPS Front Panel

AVR Power On/Test Power Off Overload


Trim

Load Battery
Indicator Charge
LEDs LEDs

On-Line
On
Battery

AVR Boost Replace Battery

15. The system controller should start up as soon as power is applied to it by the UPS unit. If
not, momentarily press the computer’s power on button, located inside the computer’s
openable front panel door. Refer to Figure 177.

Figure 177: PC Controller with Door Open

CDROM

Hard Drive

Floppy Drive

RESET Power ON/OFF

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 271
Operation Power Up Procedure

16. Close all the doors/panels/covers to ensure that the interlock system can be enabled. Verify
the interlock status via the LED display on the interlock box. Resolve any remaining interlock
issues before continuing. Reset the interlock box if necessary.
17. Wait for the system computer to boot and get ready.
18. Start the system software using the Icon on the Desktop or the Programs option from start
menu.
19. Log in at the prompt, as described in “Windows Login” on page 102.
20. As system initialization proceeds, respond to any alarms as needed.
21. At the “Initialize Hardware?” prompt, respond with “Yes”.
22. If any unexpected conditions are encountered during system initialization, respond to the
alarms and prompts as necessary.

After Performing This Procedure


z None

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 272
Operation Power Down Procedure

Power Down Procedure

Purpose
To completely power down the machine and controller in the correct sequence.

When to Perform This Procedure


z During maintenance and preventive maintenance that requires trained authorized service
and maintenance personnel to remove electrical power to the machine.

Equipment Required
z None

Before Performing This Procedure


z Not applicable

Procedure

Preparation
1. Verify that there are no wafers or reticles on the system in or on the system. If there are,
unload all wafers and reticles.

Controller
2. In the Setup menu, save machine variables (refer to “Machine Variables” on page 175). Also
save any changes made to the process program, if modified.
3. Close all other programs that may be open by saving changes if needed.
4. Shutdown the controller.
Press: Shutdown . . . from the Main Menu
Select: Exit to Windows . . . this option can be selected by pressing the up and
down arrows located on the right side of the wizard
Press: Next >
4.1 The ECS closes and the Windows desktop appears.
4.2 Shutdown the computer from Windows.
Select: Start . . . button to open start window
Select: Shut Down . . . from the pop-up
Select: Shut down . . . from the dialog box
Press: OK

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 273
Operation Power Down Procedure

Stepper
5. Power off the Illuminator controller by placing the power off switch at the down position.
6. Press the “ILLUMINATOR OFF” button. This button is located on the operator control panel,
on the front of the chamber near the operator interface. Refer to Figure 174.
7. Press the “SYSTEM OFF” button. This button is located on the operator control panel, on the
front of the chamber near the operator interface. Refer to Figure 174.

UPS
8. Ensure the UPS in the electronics rack is off. If not, press the ‘Power Off’ button. Refer to
Figure 176.

Environmental Chamber

CAUTION
ENVIRONMENTAL CHAMBER IS NOT NORMALLY POWERED OFF UNLESS IT IS
ABSOLUTELY NECESSARY. POWERING DOWN THE ENVIRONMENTAL CHAMBER FOR A
LONG PERIOD OF TIME AND WHEN HUMIDITY IS HIGH MAY RESULT IN SERIOUS
DAMAGE TO THE SYSTEM. HUMIDITY CAN CAUSE SERIOUS RUST OF THE SYSTEM
COMPONENTS.

In case it is absolutely necessary, power down the environmental chamber as follows:


9. Press the “CHAMBER STOP” button. This button is located on the operator control panel, on
the front of the chamber near the operator interface. Refer to Figure 174.
10. Place the main power disconnect, at the back of the chamber’s left side, to the OFF position.
Refer to Figure 173 on page 268.

After Performing This Procedure


z If the system is to be locked out, refer to “Lockout and Tagout - LOTO” on page 29.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 274
3050 Zanker Rd, San Jose, CA 95134

Chapter 4
Alignment Operations

Video
Cameras

Flipper
Prism
MVS
Window

Reticle Key Wafer Target

Camera Field of View

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 275
Alignment Operations Reticles

Reticles
A reticle is a glass plate with a thin film of chrome on one side. Each reticle contains multiple
image fields (from 1 to 7, but generally two or three), and each field may contain one layer of
a single die or numerous die patterned in the chrome. See Figure 178. These chrome patterns
are used to expose a pattern onto a photoresist-coated wafer. There are two types of reticle
plate sizes available for use on the stepper:
z 6 inch by 6 inch quartz reticle, 0.25 inch thick
z 5 inch by 5 inch quartz reticle, 0.09 inch thick

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 276
Alignment Operations Reticles

Figure 178: Two Field 6 x 6 Inch Reticle

152.400
152.400

13.2

136.700 Barcode Location 5.0

Reserved for 10.0


Human Readables
18.000

108.150
106.840

102.530 102.610
100.150
99.510
5.700

99.410 Baseline 99.410 Baseline

140.400
12.000

FIELD 1 FIELD 2

146.700
134.400
74.420
Centerline

Centerline
107.800
44.600

67.730
66.418

61.730
57.500

DUPLICATE ROW FOR INSPECTION PURPOSES ONLY

FIELD 1 FIELD 2

22.620
76.200
13.000

139.400

Reticle Dimensions in Millimeters


0.000
Chrome Side View
0.000

The chrome patterns on the reticle are protected by a pellicle; a thin, transparent membrane
made of nitrocellulose or mylar that is attached to the chrome side of the reticle on a pellicle
frame. See Figure 179. The pellicle seals the images from airborne particles and other forms of
contamination. Any particles adhering to the pellicle surface are held at a sufficient distance
from the mask surface (and thus the focal plane) so as to have a negligible effect on the quality
of the wafer image. Using a pellicle ensures improved die yield by reducing contamination

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 277
Alignment Operations Reticles

defects projected onto the wafers, and prevents deterioration of the chrome patterns that might
normally occur from repeated handling of the reticle. Using a pellicle also extends the lifetime
of the reticle, as excessive cleaning of the reticle is not necessary.

Figure 179: A Typical Reticle With Pellicle (Chrome Side)

Pellicle Frame

The image field on the reticle is projected onto precise wafer locations in a serpentine sequence
of exposure steps until the entire wafer is covered, thus exposing the light-sensitive photoresist.
The wafer is then developed, creating areas void of protective photoresist. The unprotected
areas of the wafer are then processed (ion implantation, CVD, etching, metallization, and so
forth). The wafer image layout (refer to Figure 180) shows a typical image array on a wafer,
with each rectangle representing one image field.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 278
Alignment Operations Reticles

Figure 180: Typical Wafer Image Layout

Reticles fall into two groups:


z Test reticles
z Product reticles
Test reticles provide patterns to test focus, overlay, blindstepping and a host of other stepper
functions.
In Figure 179 we see a chrome side view of the reticle. Because the optics of our stepper will
both invert and revert the reticle image on its way to the wafer, the fields on the reticle are
oriented and numbered left to right when viewed from the chrome side. Historically, reticles
containing two or more fields were symmetrical, that is each field occupied the same amount
of space on the reticle. But, with the introduction of the Widefield stepper, the two fields on the
Widefield test reticle are asymmetrical, with field 1 being full size and field 2 being much smaller.
This is shown in Figure 181.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 279
Alignment Operations Reticles

Figure 181: Widefield Test Reticle With Asymmetrical Fields

152.400
152.400

13.2

136.700 Barcode Location 5.0

Reserved for 10.0


Human Readables
18.000

108.150 Minimum = 20.0mm


Maximum = 50.0mm 106.840

102.530 102.610
100.150
99.510
5.700

99.410 Baseline 99.410 Baseline

140.400
12.000

FIELD 1 FIELD 2

146.700
134.400
74.420
Centerline

Centerline
112.700
51.100

67.730
66.418

61.730
57.500

DUPLICATE ROW FOR INSPECTION PURPOSES ONLY

FIELD 1 FIELD 2

22.620
139.400
87.600
13.000

Reticle Dimensions in Millimeters


0.000
Chrome Side View
0.000

Alignment Features
Alignment features must be present on both the reticle and wafer. The alignment features on
the reticle are known as reticle keys. Alignment features on the wafer are known as wafer
targets. The function of the alignment system is to position the wafer targets relative to the
reticle keys.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 280
Alignment Operations Reticles

Reticle Fiducials
Found in several places on the reticle are features called fiducials. Some of these are used for
placement of the pellicle frame. A pair of reticle fiducials, located to the right and left of the
fields, are used to position the reticle over the input prism during reticle load procedures. Refer
to Figure 182.

1462 mm Figure 182: Reticle Alignment Fiducial

1462 mm

Fiducial Placement
Placement of the various fiducials on the reticle is closely held to Ultratech specifications by the
mask maker. Both test reticles and customer product reticles will have the same placement of
fiducials.

MVS Reticle Windows


For the MVS system to be able to see the wafer, an opening in the reticle’s chrome must be
made, at each specified key distance, and on each field.
An example of an MVS reticle window may be seen in Figure 183. This window is part of a test
reticle.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 281
Alignment Operations Reticles

MVS Reticle Window Location


MVS windows are located 3.2 mm above the baseline, as seen in Figure 183.

Figure 183: MVS Window Details

200um

230um 230um
40um
200um
10um

55um

Detail
Center of MVS window is always placed 3.2mm above baseline.

Baseline

Reticle Keys
Each reticle window contains a reticle key. These are used as reticle reference positions, which
the wafer targets are aligned to. A typical reticle key is shown in Figure 183. MVS reticle keys
are sized as shown in Figure 183.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 282
Alignment Operations Wafer Features

Wafer Features

Wafer Targets
During wafer alignment, the stepper aligns to targets that have previously been imaged on the
surface of the wafer. These target’s locations, relative to the reticle keys, are precisely specified
in the process program. As mentioned before, the MVS system allows the selection of wafer
features as targets. The only criteria for picking these features is that they must be present at
each image location, they must fit within the camera’s field of view, and that they should not
be repeated within the camera’s field of view or within close proximity of it. Some examples of
possible MVS targets are seen in Figure 184. These examples are taken from a test reticle. The
center pattern on the top is used as the wafer target for most alignment checks and setup tests,
after being printed on a first layer wafer.

Figure 184: Possible MVS Targets

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 283
Alignment Operations Wafer Features

MVS wafer targets are sized as shown in Figure 185.

Figure 185: MVS Target Dimensions

10 µm

40 µm 10 µm 70 µm

40 µm
70 µm

6 µm

36 µm 6 µm 70 µm

36 µm
70 µm

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 284
Alignment Operations MVS Alignment Theory of Operation

MVS Alignment Theory of Operation

MVS Overview
The machine vision system (MVS) is a pattern recognition alignment system using video
cameras. Pattern recognition allows the system to “memorize” the image characteristics of
selected reticle and wafer patterns. During wafer alignment, the MVS system will identify and
report the location of wafer patterns (targets). Under control of the stepper controller, the XY
stage will then move the wafer and its target to a position relative to the reticle key as described
by the process program. The MVS alignment system will align the wafer to the reticle.
The MVS is a proven system with a straight forward pattern training process which is typically
done by the operator or process engineer. However, installation and set-up is restricted to
Ultratech field engineers. In this book we will focus our attention on the MVS method of
alignment and provide the foundation necessary to master the MVS pattern training process.

Optic Path
With the MVS alignment system an area on the wafer surface is illuminated by light passing
through a reticle’s MVS window. The video cameras are positioned to see wafer features by
looking through the window to the illuminated area of the wafer surface. Refer to Figure 186.

Figure 186: MVS Optic Path

Fiber Optic
Image
into MVS
Optics
Prism Prism

Area Illuminated
by the MVS
Window
Wafer
Feature
(Target)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 285
Alignment Operations MVS Alignment Theory of Operation

In Figure 186, with the prism in place, the light from the special MVS illuminator is sent through
the MVS reticle window to illuminate an area on the surface of the wafer. When the wafer feature
(target) is moved into the illuminated area it may be seen by the video camera.
The MVS reticle field has square or rectangular MVS windows. Contained within the limits of the
window shape will be a reticle feature (key) that is used by the MVS as a reticle position point
of reference.

Optic Components
The MVS is a pattern recognition alignment system using video cameras. An area of the wafer
is illuminated, and the wafer image is directed into the MVS optics. The majority of the image
handling MVS optics are concentrated in the MVS optic box.
As seen in Figure 187, the MVS optics box contains several lens and mirror elements. A fiber
optic bundle, at the lower left, directs the MVS illuminator light into the box. A filter limits the
light frequencies sent to the beam splitter, and like a prism, the beam splitter will re-direct a
portion of the light out through the imaging lens to the flipper prism, and eventually the wafer
surface.

Figure 187: MVS Light Path

Mirrors (5)
Video
Camera

Video Pattern
Signal to
MVS
Electronics Projection Lens
Assembly
Optics
Box

Filters

Pneumatic
Focus
Connection

Beam Splitter

Fiber-Optic Cable Imaging Lens

Flipper Prism

Field Aperture
Tungsten-Halogen
Lamp Reticle

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 286
Alignment Operations MVS Alignment Theory of Operation

Images returning from the wafer pass through the image lens and beam splitter into the optics
box where they encounter a series of mirrors. The function of the mirrors in the optic box is to
extend the path length of the wafer image to the distance required by the video camera optics.

CAUTION
DO NOT ATTEMPT TO ADJUST COMPONENTS OF THE OPTIC BOX IN THE FIELD.

Video Components
Having passed through the optics box, the reticle and wafer images arrive at the input to the
video camera. As with any camera of this type, the images are converted to a pixel by pixel
digitized version. This digitized image is routed to the Vision Processor board where it is
available for storage, comparison or display.

Control Components
Control of the MVS system may be divided into two groups:
z The vision processor board (VP) that contains the video processing and pattern recognition
circuitry. The VP receives video signals from the MVS cameras, and sends video and image
capture data to the main controller’s CPU.
z The hardware control electronics are responsible for physical control of various MVS
components. These components would include the illuminators, the camera motors, the
focus actuators which are “E to P” (voltage to pressure transducers) and the flipper prism
motor.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 287
Alignment Operations MVS Alignment Theory of Operation

Figure 188: MVS Video Block Diagram

System Controller PCI Bus

Cognex Video Processor Board - Model 8120


Display Driver
CPU Card
Camera 1 Camera 2

Left Right
Camera Camera MVS
Monitor
Power Power

MVS Camera Field of View (FOV)


The camera CCD and optic box lens system limit the camera field of view (FOV) to an area that
may be smaller than the size of the window on the reticle. What is seen on the monitor may be
only a part of the whole window.
The size of the MVS window is established by the reticle design. In Figure 183 on page 282, the
460 x 400 micron window is an example taken from a test reticle.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 288
Alignment Operations MVS Alignment Theory of Operation

MVS Monitor
The machine vision system (MVS) monitor displays either video images from the MVS alignment
cameras, or video images of stored reference patterns.

Figure 189: MVS Monitor

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 289
Alignment Operations MVS Alignment Theory of Operation

MVS Function
With the MVS alignment system, an area on the wafer surface is illuminated by light passing
through a reticle window. The video cameras are positioned to see wafer features by looking
through the window to the illuminated area of the wafer surface.

Figure 190: MVS Optic Path


Video
Cameras

Flipper
Prism
MVS
Window

Reticle Key Wafer Target

Camera Field of View

As shown inFigure 190, with the prism in place, light from the special MVS illuminator is sent
through the MVS reticle window to illuminate an area on the surface of the wafer. When the
wafer feature (target) is moved into the illuminated area it can be seen by the video camera.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 290
Alignment Operations MVS Alignment Theory of Operation

The MVS reticle field has square or rectangular MVS windows. Contained within the limits of the
window shape will be a reticle feature (key) that is used by the MVS as a reticle position point
of reference.

Figure 191: Typical Monitor Display of Reticle and Wafer Features

Wafer Features

Reticle Key

620 x 480 Pixel Camera Field of View

Visible through the camera’s field of view, the feature on the wafer to be used by the MVS as
the wafer alignment reference, is referred to as the “wafer target” or “device”.
The MVS pattern recognition system basically functions in the following manner.
1. The stepper’s main controller instructs the MVS vision processor board (VP) to find features
within a search window area that are similar to reference patterns stored on the hard drive.
The images to be identified are called “reticle key images” and “wafer target images” (see
Figure 191).
2. The VP samples and digitizes the camera’s video images.
3. The VP looks for pixel pattern layouts within the search window areas that closely match the
stored patterns.
4. Once the reticle key images and wafer target images are identified, their positions (in video
pixels) are sent to the main CPU.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 291
Alignment Operations MVS Alignment Theory of Operation

5. The computer converts the pixel coordinates to stage position coordinates, and repositions
the wafer to its computed location.

Figure 192: Captured Key and Target

Example of an MVS Alignment Routine


A more detailed overview of the pattern recognition process is discussed below. In this example
it is first assumed that:
1. All reference patterns have been loaded into the vision processor (VP) from the hard drive
as requested from the process program used to load the reticle. The reticle reference pattern
is “Reticle Key” and the wafer reference patterns are “Left Target 1” and “Right Target 1”.
2. A search window of 620 x 480 pixels (whole camera’s FOV) is used for the reticle key search,
and the training window (dimensions of the reference pattern) is 80 x 80 pixels (in this
example).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 292
Alignment Operations MVS Alignment Theory of Operation

3. A search window of 620 x 480 pixels (whole camera’s FOV) is also used for the wafer target
search, and the training window is 80 x 80 pixels (in this example).

Image Recognition
The computer lowers the flipper prism and moves the XY stage to the image capture position
designated by the process program. The CPU sends instructions to the VP to perform a search
for the reticle key and wafer patterns
z The VP samples, holds, and digitizes the incoming video image signal from the MVS
Cameras.
z The VP does a fast search of the digitized patterns by quickly identifying light/dark pixel
arrangements within the 620 x 480 pixel search window. It looks for 80 x 80 pixel patterns
that closely match the “Reticle Key,” “Left Target 1,” and “Right Target 1” patterns. They are
each given a numerical “grade” as to how compatible they are to the stored pattern. This
process is the means used by the VP to quickly dismiss unlikely features within the FOV
pattern and identify a feature which meets or exceeds the “correlation limit” (passing grade)
criteria. Refer to Figure 192.

NOTE . . .
FOR CORRELATION LIMIT, THE LOWER THE NUMBER THE LESS CRITICAL THE SEARCH ALGORITHM WILL BE. THE
HIGHER THE NUMBER, THE MORE EXACT THE MATCH MUST BE.

z If one or more required features does not meet the “correlation limit” requirements, the
stepper will drop into manual assist mode allowing the user to assist.
z The pixel coordinates of the captured images are sent to the main CPU, which converts the
pixel coordinates into distance information. The computer then moves the wafer, according
to the distance computed from the wafer target to the reticle key plus any target offset, to
locate the wafer at the proper position for exposure.

Target Offsets
Target offsets are used where the alignment and exposure locations are not the same. It is
usually desired to align a target to a key and then expose at that position without moving the
wafer to a new location. However, due to process issues or reticle design, it is not always
possible to do so. In cases like this, wafer targets are first aligned to the reticle keys, then the
wafer is moved to a new position for exposure, “offsetting” the aligned site. The process
program defines the offset. Targets offsets are commonly implemented in MVS alignments.

MVS Synthetic Images


When processing wafers, it is very important to control process variables. Despite the fact that
process engineers try to control wafer and target variation, there are variations in printed
images. As a result, the actual targets on the product wafers may not always match the trained
targets. In cases like this, the targets are re-trained using the newly created targets. This is not
only time consuming but also not desirable from a process point of view.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 293
Alignment Operations MVS Alignment Theory of Operation

To eliminate the need for retraining, and to have better control over targets, Ultratech has spent
a significant amount of time and effort in creating synthetic images that can be used as targets,
without the need for retraining.
Synthetic targets are images that are created using CAD software. These images are files that
can be loaded into the process program directory to be used as reticle keys and targets.
For examples of the synthetic images, refer to Figure 193 to Figure 195.

Figure 193: Example of Cross Shape Synthetic Images

10 10

20 20

30 30

40 40

50
50

60
60
70
70
80
80
90
90
100
20 40 60 80 100 100
20 40 60 80 100

Figure 194: Example of 4-Pie Shape Synthetic Image

p03606b0 p03607w0

10 10

20 20

30 30

40 40

50 50

60
60

70
70

80
10 20 30 40 50 60 70 80 80
10 20 30 40 50 60 70 80

Figure 195: Example of Double Cross Shape Synthetic Images


d05004w0 d05004b0

10 10

20 20

30 30

40 40

50 50

60 60

70 70

80 80

90 90

100 100

110 110
20 40 60 80 100 20 40 60 80 100

d03604b0 d03604w0

10 10

20 20

30 30

40 40

50 50

60
60

70
70

80
10 20 30 40 50 60 70 80 80
10 20 30 40 50 60 70 80

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 294
Alignment Operations MVS Auto‐Focus and IBC

MVS Auto‐Focus and IBC


Because of excessive reticle thickness variation, the initial nominal MVS focus center is invalid
from reticle to reticle. When the MVS reticle load fiducial is out of focus, reticle load offsets are
inaccurate, and/or the operator must intervene for manual assists. To solve this problem, the
MVS Auto Focus function has been expanded to include the MVS reticle load fiducial, existing
MVS reticle keys, and wafer targets. The new Auto Focus functions are covered in the following
sections:
z On-Axis MVS Auto Focus Options
z MVS Auto Focus for DSA-Equipped Systems

On‐Axis MVS Auto Focus Options


A feature is included in the Process Program that enables the user to select when Auto Focus
will be performed for the following MVS On-Axis functions:
z Reticle load fiducial
z MVS reticle key before Frosty OAT
z Wafer targets before prealign
To ensure consistent MVS focus from reticle to reticle, Auto Focus should be enabled on all
Process Programs. The following describes Auto Focus conditions that apply to each of the three
MVS On-Axis functions.
z Reticle Load Fiducial
The following conditions apply:
„ Auto Focus will be performed only if the Process Program setting of Auto Focus on
Reticle Load Fiducial is set to True. See “Auto Focus on Reticle Load Fiducial” on
page 798.
„ Auto Focus results will not adjust the system MVS Best Focus runtime setting.
„ Auto Focus results update the Process Program MVS focus offsets for the following:
‹ MVS reticle load align sites.
‹ All Retman C field align sites. The Frosty OAT uses the primary dominant field
Retman C align site’s MVS focus offsets to perform its measurements.
z MVS Reticle Key before Frosty OAT
The following conditions apply:
„ Auto Focus will be performed only if the Process Program setting of Run Auto Focus
On-Axis is set to Reticle Key. See “Run Auto Focus On-Axis” on page 798.
„ Auto Focus results update the MVS Best Focus runtime setting.
„ Users without reticle thickness variations can continue operations without adjusting their
existing Process Programs.
z Wafer Targets before Prealign

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 295
Alignment Operations MVS Auto‐Focus and IBC

The following conditions apply:


„ Auto Focus will be performed only if the Process Program setting of Run Auto IBC On-
Axis is set to Wafer Target. See “Run Auto IBC On-Axis” on page 799.
„ Auto Focus results will not adjust the system’s MVS Best Focus runtime setting.
„ Auto Focus results update the Process Program’s MVS focus offsets for the current align
site or all align sites. If the Process Program setting of Apply Auto IBC Results to...
is set to Current Align Site, the results will be applied to the current align site.
Otherwise, results will be applied to all align sites. See “Apply Auto IBC Results to...” on
page 799.
For additional auto-focus and IBC settings, refer to “MVS Auto Focus and IBC” on page 798.

MVS Auto Focus for DSA‐Equipped Systems


The settings detailed above will also apply to DSA-equipped systems.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 296
Alignment Operations MVS Flat Align

MVS Flat Align


MVS Flat Align is used to align the crystalline structure of the substrate to the printed image for
the first layers. It is not considered to be a replacement for Mechanical Align.
Flat Align is achieved by aligning pre-defined flat targets, on the wafer flat, to the reticle keys.
The angle between the reticle and the flat is calculated and removed by rotating the theta stage.
This is repeated until the angle is within the tolerance. Due to different key and flat target
spacing, asymmetrical MVS has to be applied. Different offsets of MVS focus and illumination
are required for sharp wafer flat image. Since the MVS offsets make the reticle key blurred, the
key need to be captured with chuck at the lowest location before Flat Align is performed. The
found key location will be stored for use in Flat Align. A new align-site is created for Flat Align
that holds the focus and illumination offsets and information about the flat targets.
Flat Align is performed in the field loop during wafer process, when a new wafer is loaded or
the reticle field position is changed. Process wafer will setup a virtual site at the wafer flat,
change align-site to the flat align-site, and perform Universal Align with the pre-align option
turned on. This will align the flat targets to the reticle using asymmetrical MVS with key pre-
capture enabled. It will calculate theta based on found target locations and remove theta. After
this is complete, process wafer will reset the align-site and build and execute the normal step
loop for the field.
A virtual wafer step is created for the wafer flat. The position of the step is given as:
FlatStepBaseline.x = 0
FlatStepBaseline.y = -WaferCenterToFrontEndEdge (The baseline center is on the wafer flat)
The wafer coordinate system is used: X points right and Y points up with the origin at the wafer
center.

Machine Variables
Wafer Center To Front Edge . . . distance, in mm, between the wafer center and the
wafer flat. See “Wafer Center to Front Edge” on page 622.

Process Program Variables


MVS Flat Align Using On Axis Cameras . . . a flag to perform flat align. The variable is
reticle field specific. See “MVS Flat Align Using On Axis Cameras” on page 736.

Theta Offset for Flat Align . . . theta offset, in radians, for flat align. The default value is
0. The variable is reticle field specific. See “Theta Offset for Flat Align” on page 736.

DY Tolerance Flat Align . . . allowable Y-difference, n mm, in found locations of the flat
targets. The variable is reticle field specific. See “DY Tolerance Flat Align” on page 736.

Wafer Flat Length . . . length of the wafer flat, in mm. See “Wafer Flat Length” on
page 718.

Left Flat Target To Flat Center Distance . . . the distance from the left MVS flat align
target to the edge of the flat. See “Left Flat Target To Flat Center Distance” on page 718.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 297
Alignment Operations MVS Flat Align

Right Flat Target To Flat Center Distance . . . the distance from the right MVS flat align
target to the edge of the flat. See “Right Flat Target To Flat Center Distance” on
page 718.

MVS Flat Align Site


An asymmetrical alignment site for Flat Align. The data members of the align site are shown
below:
The following align-site members are assigned the data of primary align-site of the Retman C
field:
z ImageReferenceToKeyDistLeft
z ImageReferenceToKeyDistRight
z KeyToTargetDistLeft
z KeyToTargetDistRight
z ImageReferenceToEyeDistLeft
z ImageReferenceToEyeDistRight.
TargetOffsetLeft.x and TargetOffsetRight.x are to be specified by users.

NOTE . . .
THE RESULTED X DISTANCE BETWEEN THE BASELINE CENTER AND THE TARGETS
(IMAGEREFERENCETOKEYDISTLEFT.X + KEYTOTARGETDISTLEFT.X + TARGETOFFSETLEFT.X FOR THE LEFT
TARGET) SHOULD NOT EXCEED HALF THE WAFERFLATLENGTH (DEFAULT TO 0.4 * WAFERFLATLENGTH).

TargetOffsetLeft.y and TargetOffsetRight.y are specified internally. Users cannot change these
values. They are specified as follows so that the flat targets are on the wafer flat:
z TargetOffsetLeft.y = -ImageReferenceToKeyDistLeft.y - KeyToTargetDistLeft.y
z TargetOffsetRight.y = -ImageReferenceToKeyDistRight.y - KeyToTargetDistRight.y
The align-site data use the reticle coordinate system: X pointing left and Y pointing up with the
origin at image reference point.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 298
Alignment Operations Process Programs

Process Programs
Associated with each product layer is a process program. Process programs contain information
about the reticle fields used by the stepper operating program during alignment and exposure
of the wafer. Process programs are generated using Ultratech’s Atlas software program and are
stored on either the system hard drive or the network. Atlas creates the data used by the
stepper to step and align the wafer.

Loading Process Programs


Process programs are automatically loaded during execution of jobs. To load a process program
for editing or viewing, complete the following steps:
1. From the main menu, status is “Idle Finished”:
Press: Setup Menu . . . to access the setup menu
Press: Setup Tools . . . to access the setup tools list
Select: Process Program Tools . . . from the list of tools
Press: Next >
Select: Load Process Program . . . if the desired process program is stored on the
controller’s hard drive, or on a mapped network drive
~ or ~
Select: Download Process Program . . . if the desired process program is stored
on the fab host computer
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 299
Alignment Operations Process Programs

2. The load process program screen, as seen in Figure 196, will appear.

Figure 196: Load Process Program Wizard

Drive Button

Open Folder Button

Scrolls to first file in list


Scrolls to last file in list

3. Press the “Drive” button to select the location of the drive that contains the process program
files to be used. Changing the drive in the box to the left changes both the folders and files
located in both “Select Directory” and “Select File.”

NOTE . . .
THE DEFAULT PROCESS PROGRAM DIRECTORY CAN BE SPECIFIED USING THE REGISTRY. REFER TO “Edit Registry
Settings” on page 190.

4. After selecting the drive, use the up and down arrows located to the left of “Select
Directory:” to determine the location of the folder to be used. “Open Folder” allows the user
to select and search individual folders within the drive used.
5. Use the up and down arrows located to the left of “Select File:” to select a process program
file from the drive and directory configured in Step 3 through Step 4. The double arrows
allow the user to quickly view both the first and last files.
6. Verify that the desired process program file is highlighted, then:
Press: Next > . . . to load the data file

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 300
Alignment Operations Process Programs

7. If the file being loaded is the same as the file in memory, a message will occur as shown in
Figure 197. Respond as desired.

Figure 197: Process Program File Already Loaded

8. If any data is loaded from the file that does not correspond to a known parameter, an alarm
will occur, similar to the one shown in Figure 198 (unless the user configuration is set to
bypass such an alarm). If it does, press “Clear” to clear it.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 301
Alignment Operations Process Programs

9. If some of the process program parameters are not loaded by the file, an alarm will occur,
similar to the one shown in Figure 198, except that it will display “Settings not found” (unless
the user configuration is set to bypass such an alarm). If it does, press “Clear” to clear it.

Figure 198: Unknown Settings Loaded from Process Program File

10. The alarm conditions detailed in Step 8 and Step 9 indicate that the loaded process program
is not current. The data can be updated to the new format by re-saving it. Note that all
“Unknown Settings” will be deleted when the data is saved, and default values will be
inserted into any missing settings.
11. Depending upon user configuration options, there may be a prompt to “List Process Program
to printer?”, an aperture check may occur, and there may be a prompt to enter a “New
exposure energy.” Respond as desired.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 302
Alignment Operations Process Programs

After the process program has been loaded, exit back to the main menu. The main menu will
display the file parameters in the left pane. The wafer map for this file appears in the right pane,
refer to Figure 199.

Figure 199: Process Program Display Screen

Saving a Process Program


Saving a process program allows you to take the modified or updated process program,
currently located in the computer’s memory, and record it onto the hard disk, floppy drive, or
network.

NOTE . . .
UTS_TEST PROCESS PROGRAM DIRECTORIES ARE WRITE PROTECTED.

NOTE . . .
THE DIRECTORY “USER_DATA” IS AVAILABLE FOR STORING CUSTOM TEST PROCESS PROGRAM FILES THAT THE
USER HAS CREATED. IN ADDITION, THE USER ALSO HAS THE OPTION OF CREATING NEW DIRECTORIES.

1. To save a process program from the main menu:


Press: Setup Menu . . . Shift-F9 using keyboard
Press: Variables . . . F1 using keyboard

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 303
Alignment Operations Process Programs

Select: Process Program Data . . . from the list, as shown in Figure 200.

Figure 200: Process Program Data Selected

Press: Save . . . button on left side of screen

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 304
Alignment Operations Process Programs

2. After pressing “Save,” the saving process program wizard will appear as shown in
Figure 201.

Figure 201: Saving Process Program Wizard

Creates new directory

Scrolls to first file in list

Scrolls to last file in list

3. If necessary, select the disk drive, using the “Select Drive:” drop-down box.
4. Select the desired directory, using the arrows or scroll bar in the “Select Directory:” field.
~ or ~
Press: New Directory . . . to create a new directory
Type: “New Directory Name” . . . in the “Enter New Directory:” field
Select: Ok . . . new directory is added as a subdirectory of the highlighted directory.

NOTE . . .
USER DATA IS FOR CUSTOMER TEST PROCESS PROGRAM FILES. OTHER DIRECTORIES MAY BE ENTERED IF
DESIRED.

5. Select or specify the process program file to be saved.


Prompt: “Please specify the filename for the Process Program File.
Then select Next to continue.”
Select: A current filename . . . or type a new filename into the “Enter Filename:”
field
Press: Next > . . . if data is saved to an existing file, the wizard warns that data
will be overwritten. File name modifications can be made if necessary.
6. Confirmation of action is presented.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 305
Alignment Operations Process Programs

Prompt: “The file, “......pcr”, was saved successfully!


Please select Next to Exit”
Press: Next > . . . the variables editor will appear.
7. Return to the setup menu.
Press: Done

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 306
Alignment Operations Loading a Reticle

Loading a Reticle
Before a reticle can be aligned, it must be placed in the reticle library, and the corresponding
process program must be loaded into the computer. During the execution of a job, the data load
is automatic. The reticle identified by the job is removed from the library and placed on the
reticle transfer plate by the robot. The reticle is then transferred to the reticle stage by the
transfer arm.

Reticle Library Loading


Reticles are placed in boxes that are then slid into a slot in the library. To place the reticle in the
box, release the two steel clips at the left and right edges of the box, as shown in Figure 202.
Open the box lid and carefully insert the reticle into the box, glass side up (pellicle down) with
the barcode on the left side, assuming the box hinge is toward you, as shown in Figure 203.
Close the box lid and secure the steel clips.

Figure 202: Reticle Box with Clips Released

Clips

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 307
Alignment Operations Loading a Reticle

Figure 203: Reticle Box Lid Open

Hinge

Barcode

To insert the box into the library, the outer door must be open. If the library door is locked, the
library must be “cancelled,” refer to “Material Handling View” on page 85. With the library door
open, slide the box, with the hinge toward you, into an available slot. After all reticles, in their
boxes, are inserted into the library, close the library door. The robot will remove the reticles, one
at a time, scan their barcodes, and place them back in their boxes.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 308
Alignment Operations Loading a Reticle

Transferring a Reticle to or from the Reticle Stage


During job execution, transfer of the reticle is automatic. There are times when reticle transfer
must be performed outside a job. A button, Reticle I/O, on the setup menu is provided for this
purpose. If there is a reticle loaded on the reticle stage, pressing Reticle I/O will remove the
reticle from the reticle stage and return it to the library. With the reticle stage empty, pressing
Reticle I/O results in the message shown in Figure 204.

Figure 204: Select a Reticle

After a reticle is selected from the list, and “Next >” is pressed, the selected reticle will be
transferred to the reticle stage. Note that the reticles are listed in reverse order from their
physical location, “RLO.RL.1” is the bottom reticle in the library. If there is some uncertainty
about which reticle is in which location, press “Exit” and use the “Material Handling” function to
get a list of the reticles in the library, by bar code. Refer to “Material Handling View” on page 85.

Reticle Alignment
As in reticle transfer, reticle alignment is automatically performed during the first job that uses
a specific reticle. There are times when reticle alignment must be performed outside of a job,
such as during maintenance and calibration functions. To manually perform a reticle alignment,
the reticle must be present on the reticle stage, and process program data must be loaded for
the present reticle. Refer to “Transferring a Reticle to or from the Reticle Stage” on page 309
and “Loading Process Programs” on page 299. Start the manual alignment sequence from the
setup menu:
Press: Setup Tools

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 309
Alignment Operations Loading a Reticle

Prompt: “Select a tool kit”


Select: Reticle Tools . . . from the list
Press: Next >
Select: Load/Unload Reticle . . . the default selection on this list
Press: Next >
The reticle will be aligned as described below. To return to the setup menu:
Press: Exit . . . after the reticle has been loaded
Press: Exit . . . at the “Select a tool kit” prompt
The function of the reticle load routine is to properly position the reticle centered left-to-right
over the projection optics input prism, and at the correct height in Y.
At the beginning of a reticle alignment, the reticle is moved in X, using the reticle finger located
on the XY stage. It is moved to a computed position, which should place the right reticle
alignment fiducial within the field of view of the right MVS camera. A video image capture is
made. If a recognizable image was captured, it will be analyzed to determine any offset from
the center of the array of arrows, in both X and Y. If a recognizable image was not identified, a
spiral search will begin. A spiral search involves moving the reticle in small X and Y increments,
in a spiral pattern. As soon as a recognizable image is captured, the search will end. The
calculated X and Y offsets from the initial reticle position are stored.
The reticle is then moved to place the left reticle alignment fiducial within the field of view of
the right MVS camera. A video image capture is made. If a recognizable image was captured, it
will be analyzed to determine any offset from the center of the array of arrows, in X and Y. If a
recognizable image was not identified, a spiral search will begin. As soon as a recognizable
image is captured, the search will end. The calculated X and Y offsets from the initial reticle
position are stored.
Calculations are now made to determine reticle skew and overall X and Y offsets. These
calculations will be applied to any future reticle moves. The diddlers will be used to remove any
Y offset or reticle skew, and then the reticle will be positioned to the designated field, with the
MVS windows in the field of view of the cameras.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 310
Alignment Operations First Layer Creation Sequence

First Layer Creation Sequence


Creation of the first layer on the wafer involves a process known as blindstep. Since there are
no optical targets to align to, a mechanical alignment of the wafer must be performed to
precisely place the first lithography layer.

Mechanical Alignment (Global Align)


Global alignment, or mechanical alignment, is a coarse XY alignment of the wafer. The
prealigner in the front-end unit locates the notch, and precisely centers the wafer on the
prealigner chuck. The wafer is then transferred to the process chuck, where it should be
precisely centered as well. Then a mechanical alignment of the wafer is done by sensing the
wafer’s front edge in two locations, and then one location at the left wafer edge, with the right
air probe. The XY stage positions are sampled as the wafer’s edge passes under the air probe.
This information is used by the software to compute the position of the center of the wafer,
relative to the center of the chuck. Any noted wafer-to-chuck center offset is stored and factored
into calculations of image locations, so the wafer array pattern can be precisely positioned on
the wafer.
Failure to achieve accurate notch find or mechanical alignment may be caused by such factors
as a damaged wafer or incorrect prealigner setup.

NOTE . . .
THE TERMS BLINDSTEP AND MECHANICAL ALIGNMENT ARE FREQUENTLY INTERCHANGED BECAUSE DURING
BLINDSTEP THE WAFER IS ALWAYS MECHANICALLY ALIGNED. HOWEVER, A MECHANICAL ALIGN MAY ALSO TAKE
PLACE DURING OTHER LAYER ALIGNMENTS.

Exposure
The process program for this sequence identifies that this is a no-align layer. The wafer is now
positioned to the image positions identified in the process program, factoring in any wafer-to-
chuck positioning, reticle skew, and grid correction offsets. Each image is exposed, in sequence,
until the entire wafer is imaged. The exposure energy for each image is specified in the process
program.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 311
Alignment Operations MVS Alignment Sequence

MVS Alignment Sequence


The machine vision system (MVS) is a pattern recognition alignment system using video
cameras. Pattern recognition allows the system to “memorize” the image characteristics of
selected reticle and wafer patterns. During wafer alignment, the MVS system will identify and
report the location of wafer patterns (targets), relative to the reticle keys. Under control of the
stepper controller, the XY stage will then move the wafer to a position described by the process
program, where an exposure will be performed. The MVS alignment system ensures that the
wafer targets are always positioned relative to the reticle keys.

Step, Align and Expose


After a first layer has been processed, the appropriate images are then in place on the wafer.
The second and all subsequent layers can then be aligned to a feature within the images using
a process known as step, align and expose.

Mechanical Align
Mechanical alignment (edge sense) is performed to ensure that there is no wafer-to-chuck
centering offsets. There are configuration settings that allow a process engineer to choose how
often, and even if, a mechanical alignment is performed. Typically, mechanical alignment is
performed on the first wafer of a job, and on any other wafer if the prealign targets are not
located. Refer to “Mechanical Alignment (Global Align)” on page 311.
After a mechanical alignment (if required), prealign, or fine X,Y and theta alignment is
performed. Refer to “Prealign”.
Each site on the wafer is then aligned and exposed. Refer to “Site by Site Alignment” on
page 315.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 312
Alignment Operations MVS Alignment Sequence

If automatic alignment fails and the stepper drops into manual assist mode, note the “Message:”
box of the manual assist mode status screen, as seen in Figure 205. This will indicate the
alignment mode at which the failure occurred. Then refer to “Prealign” or “Site by Site
Alignment” on page 315, as indicated.

Figure 205: Alignment Mode Indicator

Mode Indicator

Prealign
After successful mechanical align, the wafer is moved to a central image position for prealign,
as specified in the process program. The stepper searches for both the left and right alignment
targets and reticle keys simultaneously. If the targets are not found at the initial search site, a
spiral search may occur, if enabled by “Spiral Search on No-Align” in the “Global Alignment
Parameters” portion of the process program - refer to Figure 206. If the keys and targets are
found, any difference between the target-to-key separation sensed in the left camera, and the
target-to-key separation sensed in the right camera, indicates theta rotation of the wafer,
relative to the reticle. If present, the rotation (theta) offset is then calculated and corrected
using the theta motors to rotate the chuck. This process is repeated until the detected error is

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 313
Alignment Operations MVS Alignment Sequence

less than the tolerance (Prealign dY Limit) specified in the process program. The run-out
(difference in X position) of the wafer targets is also checked to determine an X overlay offset
correction whenever one eye is used. Fine X and Y position is also corrected.

Figure 206: Spiral Search Path

Camera's Search
FOV Limit

2 2 23 24 25

2 7 8 9 1

19 6 1 2 11
Search move
Step Size
18 5 4 3 12

17 16 1 1 13

Process program files contain primary (P1) and secondary (P2) prealign positions for each
individual reticle image field used to expose the wafer. The prealign sites are near the center of
the wafer and have both left and right alignment targets.
After a fine theta adjustment is performed at the prealign position, no further theta adjustment
is made for the remaining steps of a given field. The exception is if the Theta Align option has
been set to local in the global alignment parameters portion of the process program, in which
case theta will be checked at each step that has both left and right targets.
After prealign, the stepper commences site-by-site alignment for that reticle field (refer to “Site
by Site Alignment” on page 315). Prealign is performed each time a reticle field change is made.

Prealign Sequence
1. The stepper positions the wafer to the prealign position specified by the process program,
factoring in any wafer-to-chuck centering offsets.
2. The wafer is focused and the MVS illumination and focus levels are set to machine default,
plus any offset specified in the process program.
3. The VP performs a simultaneous capture of the left and right reticle keys and wafer targets.
The positions in pixels are sent to the computer’s CPU.
4. The computer computes the key-to-target distance for the left and right eyes. Any difference
between the left and right eye Y key-to-target distances is the result of a theta error.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 314
Alignment Operations MVS Alignment Sequence

5. Theta is adjusted and it is displayed on the status line as dY in microns. This represents the
difference between the left and right Y key-to-target distances.
6. The capture sequence is repeated. If the detected error is less than 0.05 µm (or the prealign
tolerance override value specified in G[9] - (prealign dY limit), then the stepper goes on to
the next step. If the error is greater than the tolerance, theta is adjusted again until it is
within tolerance.
7. Run-out is determined in the final capture as any difference between the left and right eye
X key-to-target distances.
8. The wafer is now positioned to place the wafer target in the exact location, relative to the
reticle key, that is specified in the process program. The image is then exposed.
9. If prealign is not successful, the sequence detailed in “Alignment Failure Progression” will
occur.

Site by Site Alignment


After prealign, the XY stages will move the wafer through successive exposure steps as defined
in the process program. At each step, the stepper will automatically perform simultaneous
captures of the reticle keys and wafer targets. The purpose of site-by site alignment is to
accurately align each site’s wafer targets to the reticle keys prior to exposure. Both cameras are
used to align at each exposure site except for fields exposed at the edge of the wafer where
only one target is on the wafer. The stepper normally uses a serpentine pattern during site-by-
site alignment.

Alignment Failure Progression


A change has been made to the order of progression in which alignment attempts are performed
if alignments fail. The order of progression with the historical method, during EGA align, was to
align a step using the primary, secondary, then tertiary align sites of that step. If those three
failed, the stage would move to the secondary (or backup) step and the alignment system would
try the primary, secondary, and finally the tertiary align sites of that step. If those failed, the
stage would move to the next primary step, and so on.
With the current method, rather than cycle through all of the align sites of the primary step
before moving to the backup step, if the primary align site fails for the primary step, the stage
moves immediately to the backup step, retaining the primary align site configurations. If that
fails, the stage moves to the next step and tries the primary site.
The alignment system tries the primary align sites of all primary and secondary steps first before
adjusting the alignment system to try the secondary align sites of all failed primary steps and
their backup steps. This method increases throughput because it is quicker to move the stage
than to adjust the system to use all of the parameters of the other align sites (focus,
illumination, brightness, contrast, etc.). Thus, time is not wasted continually adjusting the
cameras to cycle through the align sites before moving the stage to the next step. So, only the
stage moves from step to step, and the MVS maintains the same focus until all steps have been
checked for that particular align site. For the Global EGA alignment method, this time savings is
even more pronounced, which can be seen in Table 14.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 315
Alignment Operations MVS Alignment Sequence

Table 14 provides a comparison of the order of progression between the historical and current
method (for both Prealign and Global EGA alignment), assuming that failures occur at every step
and align site. Colors indicate an align site change. The table also assumes that the Spiral Search
option is enabled.
Please note that typically, most align sites pass on the first attempt. However, the failure
progression must be based on the worst-case scenario, which rarely occurs.

Table 14: Failure Progression Comparison of Historical and Current Method

Current
Align Historical Historical Current
Site Failure
Method Step Failure Order Site Failure Order Step Failure Order
Order

Prealign Primary prealign step Primary align site Primary prealign step Primary align site

Primary prealign step Secondary align site Secondary prealign Primary align site
step

Primary prealign step Tertiary align site Spiral search

Secondary prealign Primary align site Primary prealign step Secondary align
step site

Secondary prealign Secondary align site Secondary prealign Secondary align


step step site

Secondary prealign Tertiary align site Spiral search


step

Spiral search Primary prealign step Tertiary align site

Manual Assist on Primary align site Secondary prealign Tertiary align site
primary prealign step step

Spiral search

Manual Assist on Primary align site


primary prealign step

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 316
Alignment Operations MVS Alignment Sequence

Table 14: Failure Progression Comparison of Historical and Current Method (Continued)

Current
Align Historical Historical Current
Site Failure
Method Step Failure Order Site Failure Order Step Failure Order
Order

Global Primary EGA step 1 Primary align site Primary EGA step 1 Primary align site
EGA
Primary EGA step 1 Secondary align site Secondary EGA step 1 Primary align site

Primary EGA step 1 Tertiary align site Primary EGA step 2 Primary align site

Secondary EGA step 1 Primary align site Secondary EGA step 2 Primary align site

Secondary EGA step 1 Secondary align site Primary EGA step n Primary align site

Secondary EGA step 1 Tertiary align site Secondary EGA step n Primary align site

Primary EGA step 2 Primary align site Primary EGA step 1 Secondary align
site

Primary EGA step 2 Secondary align site Secondary EGA step 1 Secondary align
site

Primary EGA step 2 Tertiary align site Primary EGA step 2 Secondary align
site

Secondary EGA step 2 Primary align site Secondary EGA step 2 Secondary align
site

Secondary EGA step 2 Secondary align site Primary EGA step n Secondary align
site

Secondary EGA step 2 Tertiary align site Secondary EGA step n Secondary align
site

Primary EGA step n Primary align site Primary EGA step 1 Tertiary align site

Primary EGA step n Secondary align site Secondary EGA step 1 Tertiary align site

Primary EGA step n Tertiary align site Primary EGA step 2 Tertiary align site

Secondary EGA step n Primary align site Secondary EGA step 2 Tertiary align site

Secondary EGA step n Secondary align site Primary EGA step n Tertiary align site

Secondary EGA step n Tertiary align site Secondary EGA step n Tertiary align site

Continues until the set number for Max Desired Continues until the set number for Max
are aligned or Total is exhausted. Desired are aligned or Total is exhausted.
If Total is exhausted and Min Required is not If Total is exhausted and Min Required is not
satisfied, then Abort Wafer is issued. satisfied, then Abort Wafer is issued.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 317
Alignment Operations MVS Alignment Sequence

You can further visualize this progression by the following scenario. The parameters set for this
scenario are:

Figure 207 shows the wafer map of the primary (Ex) and secondary (ex) EGA steps for field 1.
The dark pink represents the Ex steps, and the light pink represents the ex steps:

Figure 207: Primary and Secondary EGA Steps

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 318
Alignment Operations MVS Alignment Sequence

In the first pass, the alignment system will check only the primary align site for all designated
EGA steps: E1 and e1, E2 and e2, etc. Figure 208 shows that, in our scenario, only one pass
occurred (depicted in blue) for the primary align site group, which was either E3 or e3 (fails are
depicted in red):

Figure 208: Primary Align Site Results

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 319
Alignment Operations MVS Alignment Sequence

Once all primary align sites are check, if any pass, the system will no longer move to that step
and it’s backup. At this point, the alignment system is adjusted to try the secondary align sites
of the failed steps until it fulfills the Max Desired or Min Required parameters. In this scenario,
two more passes occur. However, this still does not fulfill the Max Desired or Min Required
parameters. Figure 209 shows the results:

Figure 209: Secondary Align Site Results

Now the alignment system is adjusted to try tertiary align sites of the remaining failed steps. In
this scenario, another pass occurs and all steps and align sites have been tried:

Figure 210: Tertiary Align Site Results

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 320
Alignment Operations MVS Alignment Sequence

However, the number of passes still does not satisfy either the Max Desired or the Min Required
parameter of 5, and no more steps have been designated to check. So, the wafer is aborted.

Manually Searching for Keys and Targets


Usually prealign and site-by-site alignment is automatic. In some cases however, the stepper
may not be able to automatically complete the alignment sequence. For example, the target
capture may be unsuccessful if a target becomes indistinct as a result of degradation from wafer
processing, or if the target location on the wafer is significantly different from that specified in
the process program.
If the stepper is unable to perform alignment properly, it suspends operation, dropping into
manual assist mode. The manual assist mode menu appears on the main monitor (see
Figure 211) and the stepper waits for user assistance in finding targets.

Figure 211: Manual Assist Mode Menu

While observing the MVS monitor, the user first determines the failure cause. The status ID
columns on the MVS monitor will identify which item(s) was or were “NOT FOUND”, and a green
box will be displayed surrounding the active eye(s). If the desired feature is not within the field

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 321
Alignment Operations MVS Alignment Sequence

of view, the stage controls are used to bring the feature into view. Focus and illumination
settings are adjusted, while a search is attempted to determine an optimum setting for each. If
the image to be captured is just too unlike the stored image to achieve an adequate score, the
image may either be re-trained, or the MVS manual alignment feature may be utilized, if this is
a unique failure, such as a badly damaged target or poorly developed target.

NOTE . . .
IF THE FIELD BEING ALIGNED IS PARTIALLY OFF THE WAFER, ONLY ONE TARGET MAY BE VISIBLE, THUS ONLY
ONE CAMERA CAN BE USED.

When the keys and targets have been successfully captured, the user exits manual assist mode
(presses “Done”) and the stepper will resume its alignment operation.

Procedure
Manual alignment can be done many different ways. This procedure explains one methodology.
1. Image capture is unsuccessful and the computer screen changes to manual assist mode
(refer to Figure 211).
2. Consult the status screen for the alignment mode that failed: Prealign or IMAGE align.
3. Consult the MVS monitor for the image capture that was NOT FOUND.
4. Move the stages as needed to bring the desired wafer target into the field of view. To
determine the target to be located, type the pattern name that appears in the status portion
of the manual assist mode into the “Pattern Filename” field and then press “View Pattern.”
The stored pattern will appear on the MVS monitor. Refer to Figure 212 for an example.

Figure 212: Viewing a Pattern

5. Select the camera with the failure (if an image failed on each camera, begin with the left
camera). This will enable the focus and illumination controls for that camera.
6. Adjust camera illumination for best image contrast. Often the best contrast is achieved with
very low light levels.
7. Press the “Search K/T” button while adjusting the illumination to determine if this can solve
the image capture problem.
8. Adjust camera focus for best image appearance. Often a compromise has to be made
between reticle key and wafer target focus.
9. Press the “Search K/T” button while adjusting the camera focus to determine if this can solve
the image capture problem.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 322
Alignment Operations MVS Alignment Sequence

10. Try a combination of focus and illumination settings to achieve the best results.
11. If focus or illumination settings can not be optimized manually:
Press: Auto . . . adjacent to the focus adjusting arrows
Prompt: “Would you like to focus on the reticle only?”
Press: Yes . . . if the image not captured was a reticle key
~ or ~
Press: No . . . if the image not captured was a wafer target
Press: Next >
Wait for the routine to complete its calibration, then:
Press: Auto . . . adjacent to the illumination adjusting arrows
Prompt: “Would you like to perform center of illumination capture on the reticle only?”
Press: Yes . . . if the image not captured was a reticle key
~ or ~
Press: No . . . if the image not captured was a wafer target
Press: Next >
Wait for the routine to complete its calibration, then:
Press: Search K/T . . . to determine if this solved the image capture problem

NOTE . . .
AFTER AUTOMATIC ADJUSTMENT OF THE FOCUS AND ILLUMINATION LEVELS, IT IS SOMETIMES NECESSARY TO
MANUALLY ADJUST THE LEVELS MANUALLY TO ACHIEVE SUCCESSFUL CAPTURE OF BOTH THE KEYS AND TARGETS.

12. Once an image capture has been successfully completed with one camera, select both
cameras (unless this is an edge field) and try to capture with both cameras.
13. If there is a visible difference in the Y key-to-target distance between the two cameras, there
is some wafer theta or rotation. To adjust the theta of the wafer,
Press: “CW” or “CCW” . . . to adjust the theta offset of the wafer to equalize the
Y key-to-target distance, as seen on the MVS monitor.
14. Once acceptable signals have been found:
Press: Done . . . to leave manual assist mode and continue processing the wafer

If Alignment is Not Successful


If a capture failure happens frequently, then the problem is probably that the pattern training
was done under lighting or process conditions that are not representative of actual processing
conditions. In this case, the pattern should be re-trained using a more representative version of
the actual wafer target at this process level, or a synthetic pattern should be used.
If the capture failure is due to a unique condition, such as a scratched, poorly developed or
coated area of the wafer, then MVS manual alignment may be used, if this feature is enabled.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 323
Alignment Operations MVS Alignment Sequence

MVS Manual Alignment

NOTE . . .
MVS MANUAL ALIGNMENT IS A SOFTWARE OPTION. IF IT IS NOT ENABLED, THE MESSAGE SHOWN IN Figure 213
WILL APPEAR. SEE “Software Options” on page 190 FOR MORE INFORMATION ABOUT SOFTWARE OPTIONS.

Figure 213: MVS Manual Alignment Disabled

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 324
Alignment Operations MVS Alignment Sequence

To utilize the MVS manual alignment routine press Shift Q simultaneously from manual assist
mode. The MVS manual alignment wizard will appear on the main monitor, refer to Figure 214.

Figure 214: MVS Manual Alignment Wizard

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 325
Alignment Operations MVS Alignment Sequence

Note that the MVS monitor will display an alignment position cross for each defined eye, refer
to Figure 215.

Figure 215: MVS Monitor Display During MVS Manual Align

Change the XY stage move size, if necessary, and position the target(s), centered on the
crosshair(s). When the desired position is achieved, press “Next >”. The position will be
accepted and the next step will be processed normally.

Asymmetrical MVS
Asymmetrical MVS allows the alignment system to have different locations of keys, targets, and
eyes between left and right in both X and Y directions. This feature is enabled by the process
program data setting “Asymmetrical Configuration” on page 742.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 326
Alignment Operations MVS Alignment Sequence

Asymmetrical MVS supports both simultaneous and non-simultaneous alignments. If the left and
the right targets can be moved inside the field of view of the cameras at the same time, the left
target and the right target can be aligned simultaneously. Otherwise, we need to align one
target first and then move the stage to do the other, assuming a both-eye alignment.
For non-simultaneous alignments, there are two alignment positions (XY stage positions) - the
left alignment position and the right alignment position. The left target will be aligned first, then
the right target. The steps for non-simultaneous alignment are as follows.
1. Determine the left alignment position.
1.1 Move the left target inside the field of view of the left camera, and search for the left
key and the left target.
1.2 Compute the ideal left target position based on the search results.
2. Determine the right alignment position.
2.1 Move XY stage by a distance of StageMove to position the right target inside the field of
view of the right camera, and search for the right key and the right target.
Where
StageMove = (KeyToTargetDistLeft + TargetOffsetLeft) - (KeyToTargetDistRight +
TargetOffsetRight)
2.2 Compute the ideal right target position based on the search results.
3. Compute ΔY
ΔY = Yleft - Yright + YStageMove
Where
Yleft is the Y stage position that places the left target at the idea left alignment
position.
Yright is the Y stage position that places the right target at the idea right alignment
position.
YStageMove is the Y stage travel for aligning the right target after the left target has
been aligned. (See Step 2.1 for the definition of StageMove).
4. If ΔY is beyond the tolerance, rotate the wafer by -ΔY / d
Where
d is the X distance between the left and the right targets.
5. Repeat above steps until ΔY is within the tolerance. Record the final left and right alignment
positions.
6. Compute the exposure position based on the final left and right alignment positions.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 327
Alignment Operations MVS Alignment Sequence

Manual Assist for Asymmetrical MVS


In case the alignment fails and the system drops into manual assist, the tab for the active
camera will be activated. Figure 216 shows the manual assist menu after a failure occurred
during right-eye alignment.

Figure 216: Manual Assist Menu for Asymmetrical MVS

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 328
Alignment Operations MVS Alignment Sequence

The active tab for Right Camera in Figure 216 indicates that the right-eye alignment was
performed and failed. After using manual assist to move the right target inside FOV of the right
camera or adjust MVS focus or illumination, users can try a pattern search from manual assist.
An example of the search results is shown in Figure 217.

Figure 217: Right Eye Search Results

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 329
Alignment Operations MVS Alignment Sequence

Users can then try the left eye alignment by pressing the tab for Left Camera. With the left
camera activated, the left target is automatically moved inside FOV of the left camera if the right
target has been aligned. An example of the search results is shown in Figure 218.

Figure 218: Left Eye Search Results

Note that the stage will move automatically by a distance of StageMove (see Step 2.1) as the
eye is changed from the right to the left in Manual Assist. It will move by a distance of -
StageMove if the eye is changed from the left to the right. This assures that the target being
aligned will be inside the camera (without manually moving the stage) if the other eye has been
aligned. Users can exit Manual Assist (Done) and return to the run-mode with either the left eye
or the right eye activated. The run-mode will align the active eye first and then the other eye
to finish the non-simultaneous alignment.

MVS Key Pre‐Capture


MVS key pre-capture is performed only if the process program data setting “MVS Key
PreCapture” on page 783 is enabled. When enabled, the position of the reticle keys is captured
and stored at the beginning of each wafer. On each alignment location on this wafer, the wafer
targets only are captured, and their position is compared to the stored position of the reticle
keys. This option is intended to speed up processing on layers with thick resists, where the
reticle keys and wafer targets would normally be in a different focal plane.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 330
Alignment Operations MVS Alignment Sequence

If MVS Key PreCapture is disabled, the keys as well as the targets will be captured during each
alignment. MVS Key PreCapture applies only when the stage artifact (frosty OAT) exits.

MVS Key PreCapture Sequence


1. Capture and store MVS key positions when the reticle field is changed.
1.1 Set the MVS focus and illumination to the levels specified in MVSKeyPreCaptureAlignSite.
1.2 Perform the frosty OAT measurement.
1.3 Store the found left key position.
1.4 Store the found right key position.
1.5 Set MVSKeyCaptureSuccess to true if the frosty OAT measurement succeeds. Otherwise,
set MVSKeyCaptureSuccess to false.

NOTE . . .
THE FROSTY OAT IS ALWAYS DONE WHENEVER THE RETICLE FIELD POSITION IS CHANGED IN A JOB SUCH AS
RETICLE LOAD OR FIELD CHANGE IN MULTI-FIELD JOBS.

2. Capture and store MVS key positions when the wafer is changed in a job.
2.1 Set the MVS focus and illumination to the levels specified in MVSKeyPreCaptureAlignSite.
2.2 Capture the reticle keys before the wafer is loaded:
2.3 Store the found left key position.
2.4 Store the found right key position.
2.5 Set MVSKeyCaptureSuccess to true if the keys are captured. Otherwise, enter Manual
Assist and do necessary adjustments until the keys are captured.
3. Align the wafers
3.1 If MVS Key PreCapture is Disabled, do pattern search for both the keys and the targets
when aligning the wafers.
3.2 If MVS Key PreCapture is Enabled and MVSKeyCaptureSuccess is true, MVS keys are not
to be captured during wafer alignment. Instead, the stored values of the left and right
key positions are used for calculation of the offsets of the targets from the keys.
3.3 If MVS Key PreCapture is Enabled and MVSKeyCaptureSuccess is false, an alarm is
posted and process is terminated.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 331
Alignment Operations Patmax Scoring System

Patmax Scoring System


Patmax uses a two-stage process during an alignment target search:

Coarse search . . . Also called an initial search, Patmax searches the captured image and
looks for anything similar to the designated patterns. A score is generated that is
typically lower than the score generated from the same target during a fine search. The
ECS will send to Patmax the lesser of the Coarse Search Limit... or Correlation
Limit... variables. If the Patmax generated score is equal to or greater than the score
sent by the ECS, a fine search will be performed. If not, the search will fail.

Fine search . . . If the coarse search is successful, Patmax searches the captured image,
only in the regions identified during the coarse search as a likely pattern, and assigns a
fine search score. This normally results in a higher score compared to the coarse search
score. The resulting score must meet or exceed the value in the Correlation Limit...
variable. If not, the search will fail.
No fine search will be performed if the coarse search fails. The final displayed scoring is from
either a fine successful unsuccessful search.
Figure 219 shows a flow chart of the Patmax scoring system. As indicated, the Patmax system
will perform a coarse search and compare the result to the lesser of the Coarse Search Limit...
or Correlation Limit... variables. If the coarse search results in a score higher than the ECS
specified value, the Patmax system will perform a fine search and return the fine search score
to the ECS. The ECS then compares the fine search score to the value in the Correlation
Limit... variable.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 332
Alignment Operations Patmax Scoring System

If both searches are successful, the system will continue the alignment without displaying any
failure message. If either search results in a value below the user specified value, the system
will display a message with the scores and search will fail.

Figure 219: Patmax Scoring System

Start

ECS sends lesser


of the two limit
values to Patmax

Patmax Conducts
Coarse Search

Coarse Search
No
Successful?

Yes
Alignment Failed

Patmax performs
fine search and
sends final score
to ECS

Fine search score ECS posts failed


Correlation Limit? No
score
Yes

ECS posts sucessful


score and proceeds with
alignment process

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 333
Alignment Operations Patmax Scoring System

Variables
The Coarse Search Limits and Correlation Limits are editable using the field specific
variables as shown in Figure 220. For the reticle keys, both limits apply to both left and right
keys. For wafer targets, separate values are used for the left and right targets.

Figure 220: Align Site Data Menu Screen

It is recommended that the Coarse Search Limit... variable have a value lower than the lowest
score acceptable under any condition (typically near 45) and that the Correlation Limit...
variable have a value which is at the lower limit of acceptable scores (typically 70). Note that
setting the Correlation Limit... variable too low may result in false target capture and
consequent misalignment.

NOTE . . .
A CONFIDENCE THRESHOLD IS ALSO USED WITH CORRELATION LIMIT, IF CONFIDENCE IS ENABLED. SEE
“Appendix C” FOR DETAILS.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 334
Alignment Operations EGA Alignments

EGA Alignments
Enhanced Global Alignment (EGA) is an alignment method that uses a combination of step-by-
step alignments and blindstepping (exposing without aligning). It is only available in MVS mode,
and is designed to provide accurate overlay from layer-to-layer, without the need to perform an
alignment at each wafer step.
To utilize EGA, the EGA alignment sites must be defined in the process program. One of the
defined sites will be the prealign site, and the other EGA sites will be chosen from the wafer
image array.

EGA Align Sequence Of Operation


After a first layer has been processed, the appropriate images are then in place on the wafer.
The second and all subsequent layers can then be aligned to a feature within the images using
EGA Align.
Mechanical alignment (edge sense) is performed to ensure that there is no wafer-to-chuck
centering offsets, and to do a coarse theta correction. Refer to “Mechanical Align” on page 312.
After a mechanical alignment (edge sense), prealign, or fine X,Y and theta alignment is
performed. Refer to “Prealign” on page 313. The prealignment site is also the first EGA site.
Offsets (difference between image position specified by the process program and actual
location) are computed and stored for this site. Unlike the step, align and expose option, the
prealign site will not be exposed until all alignments have been performed.
Each of the additional EGA sites is then aligned, in sequence, and offsets are calculated at each
site. These offsets are then used to create a map of the expected positions of all the additional
(unaligned) sites.
For example, let’s use the wafer map seen in Figure 221. It identifies the lower right EGA site
in this form “1,10 E2 e2.” The 1,10 indicates field 1, step 10. The E2 signifies primary EGA Site
2. The e2 signifies secondary EGA site 2. When each of the EGA sites is aligned, offsets in X and
Y are stored. Let’s assume that when the first EGA site (1,24) was aligned, it was in the exact
position specified in the process program. When EGA site 2 (1,10) was aligned, it was further
down in Y (by 3 microns), and closer in X (by 1 micron) relative to the first site, than was
specified in the process program. The same relationship was also noticed at EGA site 3 (1,49),
further down in Y (by 3 microns) and closer to the center in X (by 2 microns). EGA site 4 (1,43)
was further up in Y (by 3 microns) and closer to the center in X (by 2 microns). EGA site 5 (1,16)
was further up in Y (by 3 microns) and closer to the center in X (by 1 micron). This simple
example provides a direct correlation: as we move away from the first EGA site by one image
step in Y, the actual distance increases by 1 micron per step. The actual distance in X is
decreased by 1 micron for each image step. Using this example, it could be extrapolated that
step 1,32 would be 3 microns lower in Y than specified in the process program, as it is 3 steps
below the prealign site, and 1 microns less in X than specified in the process program, as it is
one step to the left of the first EGA site.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 335
Alignment Operations EGA Alignments

Figure 221: EGA Wafer Map

Using a vectoring algorithm, the exact locations of all of the sites are calculated, as compared
to their specified locations in the process program.
Each site on the wafer is then exposed.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 336
3050 Zanker Rd, San Jose, CA 95134

Chapter 5
Subsystems

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 337
Subsystems Environmental Chamber

Environmental Chamber

Introduction
The environmental chamber provides temperature and contamination control for the stepper.
To provide a precise operating environment, the chamber’s heating, ventilation and air
conditioning (HVAC) module delivers clean air at a tightly controlled temperature and velocity
into the mini environment. Positive airflow and the chamber’s ultra low penetration air (ULPA)
filters prevent particulate from entering the critical processing area. Strategically placed sensors
relay process conditions to the temperature controllers ensuring continuous environmental
control. The environmental chamber supplies primary power to the process module with
emergency main off shut down control. An external light tower indicates the status of work in
process within the chamber. With these integrated components, the environmental chamber
provides reliable environmental control that leads to maximum production yields. Figure 222
illustrates the operational components of the chamber.

Figure 222: Rasco Enhanced Chamber

Positive Pressure Gauge

Touch Panel Display

EMO Button

EMO Button

Main Electrical Disconnect Chamber Interface Panel

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 338
Subsystems Environmental Chamber

NOTE . . .
THE EQUIPMENT FRONT END MODULE (EFEM), WHICH CONTAINS THE OPERATOR INTERFACE STATION, WAFER
POD STATIONS, THE PRE-HEAT AND COOL-DOWN MODULE AND THE WAFER HANDLER, MAKES UP THE FRONT WALL
OF THE ENCLOSURE AND IS CONSIDERED A SEPARATE COMPONENT. IT IS DESCRIBED IN “EFEM (Frontend)”
on page 360.

Chamber Operation
There are two stations related to the operation of the environmental chamber, the chamber
control panel and the touch-panel display.

Chamber Control Panel


Located inside the right front door of the EFEM unit, mounted high up on two cross-bars, the
chamber control panel is used to start-up and shut-down the chamber and set the operational
temperature. It is also here that chamber alarms are indicated and sounded. A drawing of the
panel appears below.

Figure 223: Chamber Operating Panel

3
2

7
5

8
6

In Figure 223, each indicator, switch and control is numbered. The functions of these devices
are given below.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 339
Subsystems Environmental Chamber

1. Temperature Controller - See “Chamber Air Temperature Controller” on page 340.


2. Main Power (Indicator) - This lamp lights up when the main power disconnect circuit breaker
is “ON.”
3. Main Chamber (Indicator) - This lamp lights up when the main power disconnect circuit
breaker is “ON.”
4. Buzzer - Buzzer sounds an alarm when there is a system functioning abnormality.
5. Start (Switch and Indicator) - Pressing this switch starts operation of the chamber. This lamp
lights up during operation and blinks during shut-down (1 minute), and goes off upon
completion of shut-down. After starting up the chamber, it will take 30 minutes for the
chamber to warm up. If a power interruption of less than 1 second occurs during operation,
the chamber restarts automatically. If a power interruption of more than 1 second occurs,
the chamber operation will terminate.
6. Stop (Switch) - Pressing this switch stops operation of the chamber or puts the system in
standby after removing a system functioning abnormality. After pressing the “STOP” switch,
power to the heater and freezer systems is disconnected and the systems terminate. The
fans of each system run under post-operation mode for approximately 1 minute and the
“START” switch lamp will blink. When post operation terminates, the fans of each system
stop and the START switch lamp is extinguished. Power to the tool and the convenience
outlets remains on.
7. Alarm Stop (Switch and Indicator) - This lamp lights up to indicate an alarm condition.
Pressing this switch releases the alarm and quiets the buzzer.
8. Lamp (Switch) - Pressing this switch toggles the lights in the chamber off and on.

NOTE . . .
MOST OF THE ABOVE FUNCTIONS ARE DUPLICATED ON THE OPERATOR CONTROL PANEL ON THE FRONT OF THE
EFEM UNIT. SEE “Operator Control Panel” on page 363.

Chamber Air Temperature Controller


The temperature controller displays the process set point, or set point variable, SV, and the
actual airflow temperature, or process variable, PV. The air-flow temperature, PV, is measured
by temperature sensors mounted beneath the plenum. These sensors send signals to the
temperature controller which compares the actual temperature with the set point temperature.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 340
Subsystems Environmental Chamber

The temperature controller uses this data to modulate the heat added to the air stream by the
HVAC heaters. Operation manuals for the RKC FB400 are available from RKC Instrument Inc’s
web site.

Figure 224: Temperature Controller

MEASURED
VALUE

SET
VALUE

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 341
Subsystems Environmental Chamber

Touch‐Panel Display
Located on the rear wall of the chamber, the touch-panel display provides details of the
operational condition of the chamber. A detailed description of the information available from
this display is provided in the Rasco Chamber Operating manual. A photo of the display appears
below.

Figure 225: Chamber Operating Panel

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 342
Subsystems Environmental Chamber

Touch‐Panel Display Navigation


Assuming the chamber is running normally, the touch panel display should appear as in
Figure 226.

Figure 226: Normal Screens

Status Current Menu

Alarm Details Menu Button Error Details Menu Button

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 343
Subsystems Environmental Chamber

From the Main Menu, if the Alm. Dtls. button is pressed, a review of previous alarms will be
displayed, as shown in Figure 227. A review of the Error details is accessed by pressing the Err.
Dtls. Button, as shown in Figure 228. The arrow keys just above the Ultratech logo are used to
page forward and backward through the screens. Pressing the “MAIN” button from either of the
details displays will return the display to the main menu.

Figure 227: Alarm Details

Figure 228: Error Details

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 344
Subsystems Environmental Chamber

If an alarm or error condition occurs during chamber operation, the display will indicate this two
ways. The upper left portion of the screen will display either “ERROR” or “ALARM” and to the
right of that, a long red error bar with a shorter yellow alarm bar will be displayed. The red bar
will flash with an error condition present and the yellow bar will flash with an alarm condition
present. These two conditions are illustrated in Figure 229 and Figure 230.

Figure 229: Alarm Indications

Yellow bar flashes during an Alarm

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 345
Subsystems Environmental Chamber

Figure 230: Error Indications

Red bar flashes during an Error

To view the details of an alarm or error, press the appropriate button on the lower part of the
display. Active alarms or errors will be indicated by a flashing indicator next to the alarm or error
condition description, as shown in Figure 231 and Figure 232.

Figure 231: Active Alarms

Indicators Flash for Active Conditions

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 346
Subsystems Environmental Chamber

Figure 232: Active Errors

Indicators Flash for Active Conditions

Additional functions are available through the Ultratech logo. By pressing and holding the logo,
the lower left corner of the screen turns white, as shown in Figure 233.

Figure 233: Using the Ultratech Logo to View Additional Functions

Press and Hold Logo


to Display White Button

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 347
Subsystems Environmental Chamber

While still holding the Ultratech logo, press the white button. Release the logo then the white
button and the first trend screen will appear. There are five screens in this group, reached by
pressing the left and right arrows. The first is the chamber temperature trend screen, as shown
in Figure 234, then the water flow trend screen, as shown in Figure 235.

Figure 234: Temperature Trend Screen

Press Left or Right Arrows to Cycle Between Screens

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 348
Subsystems Environmental Chamber

Figure 235: Water Flow Trend Screen

Press Left or Right Arrows to Cycle Between Screens

The next screen is the error log, as shown in Figure 236.

Figure 236: Error Log Screen

Hold this button for


more than 3 seconds
to clear the error log

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 349
Subsystems Environmental Chamber

Next is the time and date set screen, as shown in Figure 237. Touching one of the entry fields
causes an on-screen key pad to appear, allowing the user to input the required data, as shown
in Figure 238.

Figure 237: Time and Date Fields

Press any entry field to show keypad

Figure 238: Time and Date Entry

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 350
Subsystems Environmental Chamber

The last screen is the version log indicating the firmware version of the programmable logic
controller (PLC) and display (TPD) as shown in Figure 239.

Figure 239: Version Log Screen

Pressing the right arrow


from this screen cycles
back to Trend 1/2

Emergency Stop Operation (EMO)


To stop the chamber and process tool in an emergency, a total of five emergency stop switches
(EMOs) are provided, one on the right, left and back sides and two on the EFEM. An additional
four backup connectors are provided. If danger is sensed during operation, or abnormal noise,
smell or smoke occurs, use the EMO switch to immediately shut the device down.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 351
Subsystems Environmental Chamber

Once an EMO is pressed, the switch is locked. To release the switch, turn it to the right. Before
supplying power again, remove the problem and verify that it is safe to resume operation.

Figure 240: EMO Button

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 352
Subsystems Environmental Chamber

Main Breaker Handle


You can turn the main power to the chamber on by using the lever on the rear left side panel.
When turned on, the Main Power and Main Chamber lamps on the Chamber Control Panel will
light up. If performing maintenance on the chamber, this main breaker handle should be LOTO’d
to protect personnel from injury.

Figure 241: Main Breaker Handle

Interlock Switches
The environmental chamber is equipped with interlock switches on all doors to prevent
personnel from accessing the enclosure during normal operation. If at any time a door is
opened, the operation of the tool is stopped.

Additional Information
Additional information on the operation of the environmental chamber is available in Rasco’s
Operating Manual for the AP chamber, Ultratech part number 19-25-06513-00-03.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 353
Subsystems System Controller

System Controller
The Ultratech stepper is controlled by a PC running Windows. The stepper requires three types
of information to operate: an operating program, machine variables, and a process program.
Machine variables are stored in a data file and contain information specific to the setup and
characteristics of each stepper. Process Programs are also stored in data files and contain
scanning patterns and other information that is specific to a process.
The computer has a momentary push-button power on switch on its front panel, behind the
main panel cover. This switch must be used to re-start the controller after a power-off state.
After the stepper operating program is loaded, the controller will search its system drive for a
machine variable file with the same serial number as the hardware key. If a matching file is not
found, the user will be prompted to use the default machine variables supplied by Ultratech.
This eliminates the possibility of loading an incorrect machine variable file onto the stepper.
Machine variables can be stored on the system drive, user drive, and backup drive. The file
name will automatically be coded to match the hardware key. Once the operating program and
machine variable files have been loaded, the controller will perform an initialization sequence.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 354
Subsystems System Controller

Component Description
The controller is an industrial computer, using an Intel® Processor, running Microsoft®
Windows®. Communication with other system components is achieved through Ethernet
connections.

Figure 242: System Controller

Cover Open Lever

(a) Front View

CD ROM

Fans / filters Hard Drive

Floppy Drive

Reset On / Off (b) Opened View

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 355
Subsystems Power Panel

Power Panel
Power panel is located at the top right of the electronics rack, as viewed from the back of the
chamber. Its purpose is to distribute AC power to stepper components.

Figure 243: AC Power Panel

Z, THETA & LIFT PINS, CLC

MVS CONTROLLER

FRONT END

SPARES (A10c2-J1-5)

DISTRIBUTION
CONTROLLER
AUXILIARY
SERVICE PANEL

SPARE (A10c1-J1)

SPARE (A10c1-J2, J3)

WEP, INTERLOCK CNTRLR


I/O CNTRLR, RACK FANS
STAGE X/Y DRIVERS
(INTERLOCKED)

SPARE (A10b1-J4)
CHAMBER INTERFACE
PANEL
WAFER EDGE EXPOSURE
LIGHT SOURCE
UPS (SYSTEM CNTRLR),
NETWORK, SERIAL
STAGE PIEZO DRIVER
AIR GAUGE

ILLUMINATOR

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 356
Subsystems Utility Panel

Utility Panel
Utility panel is located at the left end of the stepper’s main frame. Its purpose is to regulate the
various pneumatic pressures and vacuums required by the system.

Figure 244: Utility Panel

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 357
Subsystems Pneumatic Controller

Pneumatic Controller
Located at the bottom right end of the main frame.

Purpose
Controls and regulates the various pneumatic pressures and vacuums required by the system.

Figure 245: Pneumatic Controller

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 358
Subsystems Stage Base Frame

Stage Base Frame


The main frame of the stepper.

Purpose
The stage base frame is the frame that all stepper assemblies are attached to, with the
exception of the electronics rack. Mounted to the base frame through a passive isolation system
is the granite block. Granite, because of its mass and density, is a natural vibration dampener.
It is also easy to machine, and its top and front ultra-flat surfaces are the “flatness” reference
for stage movements and system setup during manufacture and maintenance.

Figure 246: Base Frame and Granite

Granite

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 359
Subsystems EFEM (Frontend)

EFEM (Frontend)
The EFEM (Equipment Front End Module) unit, which is also known as the wafer handler or the
frontend, is located at the front of the stepper and makes up the front wall of the environmental
chamber. The EFEM contains the operator interface station, the pre-heat and cool-down module,
the light tower and the wafer handler. The wafer handler includes a robot with dual end-
effectors, up to two wafer cassette stations (FOUP or FOSB), reticle library, reticle transfer arm,
prealigner, wafer ID reader and optional track interface.

Layout
The layout of the EFEM unit can be broken into two main areas: the exterior and the interior.
On the exterior, the operator interface station, wafer cassette stations, EMO buttons, light tower
and operator control panel are visible, as shown in Figure 247.

Figure 247: EFEM Exterior

EMO Buttons Light Tower

Wafer Cassette Stations

Reticle Library Operator Interface Operator Control Panel


(Behind Monitor)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 360
Subsystems EFEM (Frontend)

On the interior, the robot and prealigner are seen, as shown in Figure 248.

Figure 248: Interior View of the EFEM Unit

Prealigner with optional


Wafer ID Reader

Robot

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 361
Subsystems EFEM (Frontend)

Emergency OFF Switch


The frontend is equipped with two EMO buttons: one on the left and one on the right. When an
EMO button is pressed, the entire system, including the chamber, shuts down. See Figure 249.

Figure 249: EMO Buttons

EMO Buttons

Close up view of EMO

CAUTION
THE EMO BUTTON IS USED IN THE EVENT OF PROCESS TOOL MISHANDLING,
FIRE, FUMES, ABNORMAL NOISE, OR ANY OTHER HAZARDOUS OR POTENTIALLY
HAZARDOUS SITUATION. THE EMO BUTTON IS ONLY TO BE USED IN
EMERGENCIES AND MUST NOT BE USED FOR ROUTINELY SHUTTING DOWN THE
SYSTEM.

Interlocks
The frontend is equipped with interlocks to prevent personnel from accessing the enclosure
during normal operation. If at any time the interlock is tripped (by opening a door, for example)
the operation of interfaced equipment (for example the robot and prealigner) is stopped.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 362
Subsystems EFEM (Frontend)

WARNING
FOR SAFETY REASONS, SYSTEM INTERLOCKS SHOULD NEVER BE
OVERRIDDEN.

Operator Control Panel


Located at the front of the EFEM unit. This panel, as shown in Figure 250, provides a way to
control the chamber and system power, defeat chamber door interlocks, and it audibly indicates
chamber temperature alarms.

Figure 250: Operator Control Panel

MAIN CHAMBER CHAMBER


POWER LIGHTS

Main Chamber Chamber Lights On/Off


Power Lamp (switch)
CHAMBER CHAMBER
START STOP

Chamber Start Chamber Stop


(power On switch) (power Off switch)
TEMPERATURE ALARM
ALARM STOP

Temp. Alarm Alarm Stop (switch)


(audible)
MAIN SYSTEM INTERLOCK
POWER ON

Main System
Power Lamp
OFF
Interlock (rotary switch)

SYSTEM ILLUMINATOR
ON ON

System Power Illuminator On Switch


ON switch
SYSTEM ILLUMINATOR
OFF OFF

System Power Illuminator Off Switch


OFF switch

Component Functions
Main Chamber Power . . . (Indicator) Indicates that power is present at the chamber. The
main power disconnect circuit breaker is “ON.”

Chamber Lights . . . (Switch and Indicator) Turns on and off the chamber lights, and is lit
if they are on.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 363
Subsystems EFEM (Frontend)

Chamber Start . . . (Switch and Indicator) - Pressing this switch starts operation of the
chamber. This lamp lights up during operation and blinks during shut-down (1 minute),
and goes off upon completion of shut-down. After starting up the chamber, it will take
30 minutes for the chamber to stabilize at the setpoint temperature. If a power
interruption of less than 1 second occurs during operation, the chamber restarts
automatically. If a power interruption of more than 1 second occurs, the chamber
operation will terminate.

Chamber Stop . . . (Switch) - Pressing this switch stops operation of the chamber or puts
the system in standby after removing a system functioning abnormality. After pressing
the “STOP” switch, power to the heater and freezer systems is disconnected and the
systems terminate. The fans of each system run under post-operation mode for
approximately 1 minute and the “START” switch lamp will blink. When post operation
terminates, the fans of each system stop and the START switch lamp is extinguished.
Power to the tool and the convenience outlets remains on.

Temperature Alarm . . . (Buzzer) - Sounds an alarm when there is a system functioning


abnormality.

Alarm Stop . . . (Switch and Indicator) - This lamp lights up to indicate an alarm condition.
Pressing this switch releases the alarm and quiets the buzzer.

Main System Power . . . (Indicator) - This serves as a verification of the stepper’s


electrical panel “Main” circuit breaker status (CB1).

Interlock . . . (Rotary Key Switch) - The system is designed so that when any one of the
environmental chamber or EFEM doors is opened, the XY stage and robot are disabled.
There are maintenance procedures that require the XY stage and robot to be active while
they are being observed. This key, in the “OFF” position, allows the XY stage and robot
to continue operation when a chamber door is opened. This key must be in the “ON”
(normal) position to create or run a job.

System Power On . . . (Switch) - Engages the main contactor in the stepper’s electrical
panel, starting up the process module.

System Power Off . . . (Switch) - Disengages the main contactor in the stepper’s electrical
panel, turning off the process module.

Illuminator On . . . (Switch) - Engages the illuminator contactor in the stepper’s electrical


panel, starting up the illuminator lamp power supplies.

Illuminator Off . . . (Switch) - Disengages the illuminator contactor in the stepper’s


electrical panel, turning off the illuminator lamp power supplies.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 364
Subsystems EFEM (Frontend)

Robot
The Genmark GB8-SM (swap-master) robot is a multi-axis GPR series robot that features global
positioning and trajectory control. GB8-SM robots are designed to perform pick and place
operations in complex work spaces with a high degree of precision and at high speeds.
Figure 251 shows an overall view of the robot.

Figure 251: GB8-SM Robot

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 365
Subsystems EFEM (Frontend)

The robot has two end-effectors that enable the robot to hold two wafers at a time. Typically,
this feature is used to place a wafer on the chuck for processing immediately after removing the
processed wafer.

Figure 252: Standard End Effector

Three Vacuum Ports

Figure 253: Optional Two-Port End Effector

Two Vacuum Ports

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 366
Subsystems EFEM (Frontend)

Figure 254: Optional Warped Wafer Handling End Effectors

Three Vacuum Ports


Two Rubber Cups

Robot Safety Features


The robot is designed to protect itself, using software and electrical limits. These should not be
relied upon to protect the user. Normally, if an access door is opened, the robot is interlocked
and ceases operation. But, it is possible to defeat the robot interlock using the key switch on
the operator control panel. The interlock override function is provided for alignment and
maintenance purposes, where the robot must be observed while in operation. Maintenance
personnel must be aware that the operational robot can inflict severe injury, if personnel get a
body part in the robot’s path.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 367
Subsystems EFEM (Frontend)

Figure 255: Robot Safety

WARNING
DO NOT OPERATE THE ROBOT OR OTHER ENCLOSED EQUIPMENT WHILE
THE FRONTEND OR TOOL CHAMBER DOORS ARE OPENED. SOME OF THE
EQUIPMENT INSIDE HAVE MOVING PARTS THAT CAN CATCH AND HOLD
ONTO OBJECTS, SUCH AS: LOOSE ARTICLES OF CLOTHING, FINGERS,
HAIR, WIRES, AND CABLES. REFER TO THE SAFETY CHAPTER FOR MORE
INFORMATION.

WARNING
EXERCISE CAUTION WHEN NEAR THE ROBOT’S END EFFECTOR. INJURY
TO EYE OR OTHER PARTS OF THE BODY COULD OCCUR.

WARNING
EXERCISECAUTION WHEN NEAR THE ROBOT’S GLOBAL POSITIONING
PLATFORM AND PREALIGNER. FINGERS AND HANDS CAN BE PINCHED OR
HIT.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 368
Subsystems EFEM (Frontend)

WARNING
OPERATING THE SYSTEM WITH THE ROBOT INTERLOCK BYPASSED
(INTERLOCK KEY OFF) IS A POTENTIALLY DANGEROUS MODE OF
OPERATION. ULTRATECH CANNOT BE HELD RESPONSIBLE FOR ANY
DAMAGE INCURRED WHILE RUNNING THE MACHINE WITH THE ROBOT
INTERLOCK BYPASSED. THE DAMAGE INCLUDES, BUT IS NOT LIMITED
TO, PERSONNEL, EQUIPMENT, OR PRODUCT.

GUI Lockout
A purchasable option called GUI Lockout is available. The feature locks out the graphical user
interface (GUI) of the Equipment Control Software (ECS) when the key switch to disable the
interlock system is turned, thus allowing personnel to enter the equipment chamber. After the
interlock system is disabled, the Maintenance Message Screen appears with the default warning
that people are working inside of the chamber, as shown in Figure 256:

Figure 256: GUI Lockout Message

While the interlocks are disabled, this message (which can be customized) fills the entire bottom
monitor screen, thus blocking other user interface windows. As a result, the equipment cannot
be driven via the user interface.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 369
Subsystems EFEM (Frontend)

No Close button exists in this screen so that users cannot close it by pressing the Close button.
In addition, the ALT-F4 combination key, which can also close a screen, is disabled.

NOTE . . .
THE GUI LOCKOUT FEATURE DOES NOT WORK ON MACHINES THAT DO NOT SUPPORT THE INTERLOCK ENABLE/
DISABLE KEY SWITCH.

The GUI Lockout feature is enabled after purchasing and installing it.
Because the GUI Lockout feature is applied only to Maintenance mode, throughput is not
affected.

Unlocking the GUI


Operation of the equipment via the ECS can be resumed when the Maintenance Message Screen
is closed, which can be done in one of the following two ways:
„ When the interlocks are again enabled by turning the switch
„ When the user enters a valid user name and password into the fields available in the
warning screen then clicks the Unlock button
After the Maintenance Message Screen closes, any windows open before the GUI Lockout
message appeared will reappear. Thus, normal operations can be resumed with the GUI
accessible to the user.
To enable the GUI to be unlocked by entering a user name and password into the Maintenance
Message Screen, the existing Log In Screen Requirement setting must first be set to
Password Enabled. You can access this setting by selecting Setup Menu > Variables >
User Configuration > Misc Config Page 1 > Login Screen Requirement, as shown in
Figure 257:

Figure 257: Log In Screen Requirement Setting

Customizable Warning Message


Customers may create their own bitmap file for the warning information. The customized
warning must be created in the BMP file format and named as “GUILockout.bmp.” This BMP file
must be placed in the photo directory located by default at:
C:\Program Files\Ultratech Equipment\Software\Photos

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 370
Subsystems EFEM (Frontend)

Prealigner
Prealigners provide precise centering and flat or notch positioning of wafers. To center a wafer,
the chuck is rotated while the CCD camera housed in the prealigner lighthouse detects the
wafer’s eccentricity. After the eccentricity is determined, the chuck is rotated to place the wafer
so that the eccentricity is aligned in the Y axis. The pins then raise the wafer off the chuck, and
the chuck is moved in the Y axis to center itself under the wafer center. The pins retract, and
the chuck is rotated again to double-check the eccentricity and to locate the flat or notch. The
wafer is then rotated to position the flat or notch according to the process program orientation,
with the default being with the flat or notch at the front of the tool (6 o’ clock). The wafer ID
reader, using a CCD camera, reads the wafer ID string or barcode. The prealigner components
are identified in Figure 258.

Figure 258: Standalone Prealigner

Wafer ID Reader
Lighthouse (Optional)

Lift Pins

Chuck

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 371
Subsystems EFEM (Frontend)

Wafer ID Reader
The wafer ID reader included with the frontend is made by Cognex. It is designed to read SEMI-
standard alphanumeric markings on the front surface of silicon wafers. The reader is also
designed to meet SEMI standards for back-surface marking of double-sided polished wafers with
two-dimensional code. See Figure 259.

Figure 259: Wafer ID Reader

Ethernet Connection Power Supply Cable

Indicators

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 372
Subsystems EFEM (Frontend)

An example of the code used on 300 mm wafers is shown in Figure 260. The upper portion of
the figure shows an alphanumeric wafer code that is read using Optical Character Recognition
(OCR) technology. The lower portion of the figure shows a wafer barcode. Barcode scanning (as
defined by SEMI) is also possible with the wafer ID reader.

Figure 260: 300mm Wafer Marks

Wafer ID
Barcode

For the wafer ID reader to function properly, the wafer ID must be placed in a specific location
on the edge of the wafer. Typically, the angle between the prealigner lighthouse and wafer ID
reader is 45°. The host software can then be configured to ensure that the wafer is placed in a
position that makes its ID readable by the reader.
When configuring the host software, the wafer ID reader can be physically adjusted to ensure
proper alignment over the wafer ID. The reader can easily be moved forward or backward.
Configuration options for the wafer ID reader are detailed in the Unity Platform Products
Frontend Manual P/N 19-25-05179-00-03, Chapter 5.

Track Interface
The track interface is custom designed to accept wafers from the customer's wafer transport
track. The robot on the track inputs wafers into the front-end using the track interface, and the
robot inside the front-end takes the wafer and places it at the appropriate location for use by a
process tool. One platform on the track interface is designated by the host software for wafer
input from the track and the other for wafer output from the front-end.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 373
Subsystems EFEM (Frontend)

The customer's transport-track robot is able to reach the track interface through an opening in
the upper door of the front-end at the right side to place wafers on track or remove them. The
opening on the left side is blocked by a panel.

Figure 261: Track Interface

Wafer Rest Pins (3x2)

Wafer
Presence
Sensor

Guide for 200 mm


and 300 mm wafer

Wafer Rest Pins

Connector

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 374
Subsystems EFEM (Frontend)

Wafer Flow Sequence of Operation


Wafer flow through the AP200/AP300 primarily occurs within the EFEM unit. When the wafer is
on the process chuck is the only time that it is not on or within an EFEM component. The
following sequence of operation is given to help the user understand the handling steps.
1. FOUP pod is placed either by the operator or the fab’s wafer handling system.
2. Presence and placement sensors are checked.
3. The carrier ID is read and compared to the ID specified by the host or the operator.
4. The pod is loaded.
4.1 The pod table slides forward to the load lock.
4.2 The pod door is unlatched by actuators on the load lock door.
4.3 The load lock door retracts, taking the pod door with it, and descends.
4.4 While descending, sensors on the load lock door map the pod contents, checking for
cross-slotted wafers, double-slotted wafers and empty slots.
5. The process program is loaded according to job parameters from the host or locally.
6. Assuming the job has been started, the first wafer is removed by the robot from the
cassette, using end effector #2. This is the first point of contact with the wafer.
7. The wafer is transported to the pre-aligner.
7.1 The robot lowers the wafer onto the prealigner chuck. This is the second point of contact
with the wafer, occurring on the back side, circular contact with the prealigner’s vacuum
chuck (quartz insert). Refer to Figure 258 on page 371.
7.2 The prealigner chuck rotates as the CCD sensor in the lighthouse detects the wafer
eccentricity and notch position. There is no wafer contact with the lighthouse.
7.3 If eccentricity is detected:
7.3.1 The prealigner chuck will rotate the wafer to align the axis of eccentricity to the
lighthouse axis.
7.3.2 Vacuum to the prealigner chuck is turned off and vacuum to the lift pins is applied.
7.3.3 The prealigner’s lift pins lift the wafer off the chuck, here we have three-point contact
again with the wafer’s backside, to the prealigner’s PEEK lift pins.
7.3.4 The chuck is moved in an axis parallel to the lighthouse, to center the prealigner
chuck under the wafer center.
7.3.5 Vacuum to the lift pins is turned off and vacuum to the prealigner chuck is applied.
7.3.6 The prealigner’s lift pins retract, placing the wafer back on the prealigner chuck.
Again we have wafer to vacuum chuck contact.
7.3.7 The prealigner chuck is once again rotated as the CCD sensor in the lighthouse
detects the wafer eccentricity and notch position.
7.3.8 If residual eccentricity is detected, the sequence starting at Step 7.3.1 repeats.
7.4 The wafer is rotated to place the wafer ID barcode or Alpha-numeric code into the field
of view of the wafer ID code reader, if present.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 375
Subsystems EFEM (Frontend)

7.5 If configured, the wafer ID code is read. There is no wafer contact with the ID reader.
7.6 The wafer is rotated to any notch position offset specified in “Wafer Rotation Angle” on
page 718.
7.7 The robot picks up the wafer from the prealigner chuck, using end effector #1. Again we
have wafer to end-effector contact.
8. Wafer #1 is transported to the process chuck, using end effector #1.
8.1 The XY stage positions the chuck at the load position specified by the variables “(X,Y)
Chuck Aligned to the In Slot” on page 682.
8.2 The lift pins on the process chuck are raised.
8.3 The wafer is placed onto the lift pins. Here we have three-point contact between the
wafer’s back-side and the lift-pins.
8.4 The robot retracts the end-effector.
8.5 The lift pins lower the wafer onto the chuck. Vacuum is applied and wafer back-side to
chuck contact is made.
9. The wafer is processed.
10. As wafer #1 is being processed, wafer #2 is removed from the cassette and delivered to the
pre-aligner, using end effector #1.
11. Just before wafer #1’s processing is completed, wafer #2 is removed from the pre-aligner
and held on the robot end-effector, using end effector #1.
12. The XY stage is moved to the load position at the completion of wafer #1’s processing.
13. Vacuum is released from the wafer, the lift pins are raised fully and the robot’s end effector
#2 picks up the processed wafer.
14. End effector #2 retracts and end effector #1 is extended.
15. Wafer #2 is placed on the chuck’s lift pins as is described in Step 8.3 to Step 8.5.
16. Wafer #1 is transported to the wafer cassette by end effector #2.
17. End effector #1 picks up wafer #3 from the cassette.
18. At this point, the sequence will repeat from Step 7 until the lot has completed processing.

Reticle Library
Two types of reticle libraries are available on Unity AP tools, SMIF and Ultratech standard.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 376
Subsystems EFEM (Frontend)

SMIF Reticle Loadport

Supported configurations:
z SMIF reticle library is only available with FOUP wafer loadports, FOSB loadports are not
supported
z 6 x 6 inch reticles only
z 6 slots in cassette

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 377
Subsystems EFEM (Frontend)

z Microtome 6 inch E112 multi-reticle cassette (#99600-00)

z Entegris or Microtome Multireticle POD

z SMIF indexer from Brooks Automation (ISE R150-Microtome)


z Hermos carrier ID reader that reads RF carrier ID tags at SEMI E112 location
SEMI Standards supported:
z E112
z E109
Not supported:
z Single Reticle Pods
z 5 x 5 inch reticles

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 378
Subsystems EFEM (Frontend)

Operation
When a reticle pod is placed on the loadport, the RFID of the pod is read and reported to the
host. Upon acceptance by the host, the indexer opens the pod and lowers the cassette. While
the cassette is being lowered, the cassette slots are mapped by a laser sensor on the indexer
(reticle present or not).
When mapping is complete, the first reticle is indexed to the pickup position and the EFEM robot
removes the reticle from the cassette and takes it to the EFEM’s reticle barcode scanner. After
reading the barcode, the reticle is returned to its slot. The process repeats until all reticles have
been scanned.
Each reticle is scanned once and only once with each pod placement. Any successive pod open /
close actions will not scan the reticles again, unless the pod is removed.
The indexer provides one position for the robot to get the
and reticle
put thefrom,
reticle
or from
put the
/ into
reticle
the into,
cassette.
the cassette.
The The
indexer
indexer
moves
moves
the desired
the desired
reticle
reticle
to this
to this
handhand
off position.
off position.
Carrier RFID tag are be located at the right side of pod, looking from the front of tool for the ID
reader to read, per SEMI 112.
Carrier RFID reading can be enabled or disabled in the software.
Indexer
Indexer
LEDLED
function:
function:
Green ON
Green ON . . . Ready for action
Ready for action
Red ON . . . Action Underway
Red ON
Red Blinking . . . Error or initializing
Action Underway
Green and Red Blinking . . . Reticle extending from cassette
Red Blinking
Error or initializing
Ultratech Standard Reticle Library
Green and Red Blinking
The Ultratech
Reticle standard
extending reticle library (see Figure 262) allows the storage, placement, and
from cassette
retrieval of up to six reticles. Each reticle is kept in an individual cassette (box) located in a
designated slot of the reticle library assembly. There are sensors on the side of the library that
Ultratech
detect reticle box Standard
presence, seen Reticle
in FigureLibrary
263. Reticle presence sensors detect the presence
of a reticle in the box, as seen in Figure 264.
The Ultratech standard reticle library (see Figure 262) allows the storage, placement, and
When theoflibrary
retrieval up to door is opened,
six reticles. Eachany or allisreticles
reticle kept inmay be removed
an individual or replaced
cassette (box) individually.
located in a As
soon as the slot
designated outeroflibrary doorlibrary
the reticle is closed, the inner
assembly. doorare
There is opened,
sensors and then
on the theofreticle
side box doors
the library that
are opened, as seen in Figure 264. Each reticle, in turn, is removed from its
detect reticle box presence, seen in Figure 263. Reticle presence sensors detect the presencebox by the robot
and
of a positioned at the
reticle in the box,barcode
as seenreader, seen
in Figure in Figure 265. The reticle’s ID is read, then the reticle
264.
is returned to its box. This ensures that all reticles in the library are identified.
When the library door is opened, any or all reticles may be removed or replaced individually. As
soon as the outer library door is closed, the inner door is opened, and then the reticle box doors
Reticle
are opened, Library
as seen LEDs
in Figure 264. Each reticle, in turn, is removed from its box by the robot
and positioned at the barcode
Reticle Library has two lights: reader, seen in Figure 265. The reticle’s ID is read, then the reticle
is returned to its box. This ensures that all reticles in the library are identified.

Reticle Library LEDs


Reticle Library has two lights:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 379
Subsystems EFEM (Frontend)

Action OK . . . It is on when the inner door is closed. The operator can open the door.

Placement . . . It is on when the outside door is closed.


When inner door is closed, 'Action ok' is on. 'Placement' remains on. After the operator opens
the outside door, the 'Placement' is turned off. 'Action ok' remains on. Operator puts in box and
closes door. The 'Placement' is turned on. 'Action ok' is turned off.

Figure 262: Reticle Library

Action OK
Placement Indicator
Indicator

Reticle Boxes

Door Latch

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 380
Subsystems EFEM (Frontend)

Figure 263: Box Presence Indicators

Box
Present
LEDs

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 381
Subsystems EFEM (Frontend)

Figure 264: Reticle Presence Sensors

Reticle
Presence
Sensors

Reticle Boxes Opened

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 382
Subsystems EFEM (Frontend)

Reticle Barcode Reader


The reticle barcode reader is mounted at the upper left corner of the loadport frame, inside the
EFEM.

Figure 265: Reticle Barcode Reader

Barcode Reader

White Background

Optionally, a hand-held barcode scanner is available so that reticles can be scanned, in the box,
before placing the box into the Ultratech standard reticle loadport.

Reticle Transfer
When a process program requests a reticle, the reticle is delivered to the reticle stage
automatically in the following manner:
z The robot removes the identified reticle from the cassette and places it on the load transfer
plate assembly, as seen in Figure 266.
z The reticle swing arm, shown in Figure 267, grasps the reticle, picks it up, rotates it, swings
it back, and places it on the reticle slider.
z An MVS alignment of the reticle is performed, as described in “Loading a Reticle” on
page 307.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 383
Subsystems EFEM (Frontend)

The reverse process is used by the stepper to unload the reticle and placing it back into its
cassette.

Figure 266: Reticle Delivered to Transfer Plate

Figure 267: Reticle Swing Arm

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 384
Subsystems Enhanced Reticle Management

Enhanced Reticle Management


Functionality has been added to the software to support manual reticle ID scanning at the
loadport as well as inserting and removing reticles while the equipment is processing wafers.
This section covers the following topics:
z Manual Reticle ID Scanning
z Setting the System to Manually Scan Reticle IDs
z Programming Barcodes
z Reticle Insertion and Removal While Processing Wafers

Manual Reticle ID Scanning


The capability to manually scan reticle IDs with a handheld scanner is now available. Code 39
is the only barcode tested at this point.
Part of the hardware provided includes a USB port retrofitted to the equipment that
communicates with the reticle library and the system, in both local and remote modes. This
method of ID scanning is most suitable if barcodes are not on the reticles but exist elsewhere
(on the box or travel log, for example).
When implemented, the scanned reticle ID is entered into the Reticle ID Entry screen, as shown
in Figure 268. In this example, the boxes 4 (green) and 2 (green) have been scanned. Box 1
(yellow) has not yet been scanned:

Figure 268: Manual Reticle ID Entry Screen

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 385
Subsystems Enhanced Reticle Management

Before scanning the reticle, simply double-click in the appropriate Substrate ID field. The field
turns white, indicating that it is ready for you to scan the reticle. After scanning, the reticle ID
appears in the selected field.
If the ID is invalid, the ID field appears in red. Any ID changes made at this point are not
recorded into the system, and the user must correct any invalid IDs before all will be accepted.
According to E39.1-1296, section 6, OBJID, acceptable characters for IDs are the following:
z 0x20 through 0x7E, excluding the '>', ':', '?', '*', and '~'
z The space character (0x20) may not be used as the first or last character.
For instructions about how to set up your system to use this method, see “Setting the System
to Manually Scan Reticle IDs” on page 386.
If you are interested in obtaining the manual scanning hardware for your existing equipment,
contact Ultratech Customer Service in San Jose, California, for details about retrofitting.

Setting the System to Manually Scan Reticle IDs


If your equipment comes with or has been retrofitted to use a handheld scanner, do the
following steps before scanning reticles. This procedure enables manual ID entry and
disables the system’s automatic reticle ID reader. The procedure is valid for offline and online
in either local and remote modes.
1. Click Setup Menu.
2. Click Setup Tools.
3. Select Material Handler Tools, then click Next.
4. Select Loader Setup, then click Next.
5. Click on the Material In/Out tab:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 386
Subsystems Enhanced Reticle Management

6. Check the Reticle ID Error Handling in Local Mode checkbox within the Reticle Load/
Unload Boundaries box (far right-hand side):

This enables reticle IDs to be manually input either by the keyboard or a handheld scanner.
7. Click Done. The Material Handler Tools screen appears.
8. Disable the automatic barcode reader by doing the following steps:
8.1 Select Loader Analysis, then click Next.
8.2 Click the Object Browser tab, if it is not already displayed.
8.3 Expand the Equipment:Equipment node in the far left pane:

8.4 Select EqpIODevice:ReticleIDReaderDriver:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 387
Subsystems Enhanced Reticle Management

8.5 Click the Controls tab, then select Disabled in the Configured Operation Mode box
to disable the selected driver:

When the barcode reader is disabled, the reticle library inventory is skipped entirely.
After a reticle is loaded into the library and the library opens, the Manual Entry Screen
is immediately displayed.
8.6 Repeat Step 8.4 and Step 8.5 for CIDRW:ReticleIDReader:

9. Click Done.
10. Reboot the software to set the changes.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 388
Subsystems Enhanced Reticle Management

Programming Barcodes
The barcode scanner is programmed by Ultratech prior to sending it out. However, if you have
trouble scanning, you can reprogram the scanner by doing the following procedure.
In this procedure, you will be instructed to scan three barcodes, which are the following (also
see Figure 269):
z Factory Defaults
This barcode (DEFALT) resets the scanner to the default settings.
z USB Terminal Interface
This barcode (PAP124) programs the scanner to work with the keyboard via the USB port.
z Sample Code 39
This barcode (TEST123) is a sample of Code 39, the type that Ultratech supports for reticle
barcode scanning, that tests whether the scanner is working.

Figure 269: Barcodes

Factory Defaults

~ D E F A L T . ³

USB Terminal Interface

~ P A P 1 2 4 . ³

Sample Code 39

T E S T 1 2 3

To program the scanner, perform this procedure.


1. Print out a hard copy of this page. You will need it to scan the three barcodes.

NOTE . . .
THE RESOLUTION OF THE PRINTED COPY IS CRITICAL FOR THE ABILITY TO SCAN THEM. THUS, MAKE SURE THAT
YOU PRINT OUT THE BARCODES FROM A HIGH-RESOLUTION PRINTER.

2. Reset the factory defaults of the scanner and program it to work with the keyboard by doing
the following:
2.1 Connect the scanner to the USB port on the front lower library cover.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 389
Subsystems Enhanced Reticle Management

2.2 Point the scanner at the Factory Defaults (DEFALT) barcode (see Figure 269).
2.3 Press the trigger of the scanner until you hear a beep, which means that the barcode
has been read.
2.4 Repeat steps Step 2.1 through Step 2.3 for the USB Terminal Interface (PAP124)
barcode.
3. Open Notepad.
4. Click on Notepad to ensure that it is the active window.
5. Scan the Sample Code 39 (TEST123) barcode until the scanner beeps.
The text “TEST123” should appear in the Notepad window. The scanner is now
programmed.
If the scanner cannot read the sample barcode, verify that the above procedure has been
done correctly. If after repeating the procedure the scanner still cannot read the barcode,
contact Ultratech field service.

Reticle Insertion and Removal While Processing Wafers


A new feature has been added to allow the insertion and removal of reticles during wafer
processing. This can be done in either Local or Remote mode. Note, however, that reticles
cannot be inserted or removed when the robot is accessing the reticle library.
The Reticle Unload Error Handling Options menu was added to the Material In/Out tab of Loader
Setup:

Because reticles can be inserted into or removed from the library during the job, the original
slot that the reticle on the stage came from might have been removed or the slot might be
occupied by another reticle. Therefore, the robot would not be able to return the reticle on the
stage to its original slot. The Reticle Unload Error Handling Options menu provides three ways
to handle this error situation. The options are detailed in the section below called “Reticle
Unload Error Handling Options”.
To access the new Reticle Unload Error Handling Options menu, go to Setup Menu > Setup
Tools > Material Handler Tools > Loader Setup, then select the Material In/Out tab.

Reticle Unload Error Handling Options


If the robot is unable to return a reticle to its original slot, the options provided on the Reticle
Unload Error Handling Options menu specify how to handle this error condition. These
options are supported for stationary libraries only.
You can select one of three options from the Reticle Unload Error Handling Options drop-
down menu:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 390
Subsystems Enhanced Reticle Management

„ 0 - Raise Recoverable Alarm


This method is the most conservative and is suitable for stationary libraries if the
operator keeps track of the reticle ID according to the box label and wants to prevent
the possibility of mismatching reticles and boxes.
In Local mode, the reticle is placed in its original slot only. If the original slot is not
available, the following recoverable alarm is issued:

Figure 270: Recoverable Alarm Message

The operator can then click either the Retry or Abort button.
‹ Retry
Before clicking this button, access the Material Handling screen to load and/or unload
another box. Then, click the Retry button to have the system attempt to unload the
reticle again into its original slot.
‹ Abort
The job is aborted. However, the system is still in an initialized state.
In Remote mode, the reticle is placed in its original slot only. If the original slot is not
available or there is no slot, the job is aborted, but the system is still in an initialized
state.
„ 1 - Auto-Select First Empty Slot
This method is suitable for libraries where the host actively tracks reticle IDs.
In Local or Remote mode, the reticle is placed in the first empty slot if the original slot
is not available. If no slot is available, then a wizard appears asking whether to retry or
abort.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 391
Subsystems Enhanced Reticle Management

„ 2 - Operator Selects Empty Slot


This method is suitable for libraries that do not have ID labels on the boxes or if it does
not matter whether the label matches the ID because there are other ways to recognize
reticles in the box, this might be a preferred method.
Available only in Local mode, the operator selects the empty slot to place the reticle into
if the original slot is not available. If no slot is available, then a wizard appears asking
whether to retry or abort.

Precautions
The 0 - Raise Recoverable Alarm option is the most conservative and has the lowest risk
of mismatching reticles and boxes. But, even with this option, there are still potential
operator errors that cannot be detected by the system, which are the following:
„ The operator places the reticle in the wrong box before inserting or after removing the
box.
„ The operator removes the box for a reticle currently on the stage then performs a reticle
I/O by placing that reticle into the wrong box. This could result in processing material
with a mismatched reticle.
„ The operator removes the box of a reticle that is currently loaded on the system, not
replacing it with another empty box. This could result in an error condition requiring user
intervention.
„ Reticles could be removed for queued jobs. If so, the software gives a message and waits
for the operator to insert the reticle back into the static reticle library.
„ The operator could double-click in a slot of the Reticle ID Entry screen and then scan the
incorrect barcode for that slot or scan barcodes for the incorrect slot positions (for
example, scanning the barcode of slot 5 when slot 6 is selected and vice versa).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 392
Subsystems Light Tower

Light Tower
The light tower is a visual indication of the current condition of the machine. See Figure 271.

Figure 271: Light Tower

Signal Tower Configuration Tool


The Signal Tower Editor (STEditor) enables the user to configure the signal tower signals
according to their specific needs. The STEditor is a standalone application that can be launched
independently or accessed from within the Equipment Control Software (ECS). This provides
flexibility to allow changes to be made to the signal tower configuration with or without the ECS
running.
The following topics are covered in this section:
z Overview
z Signal Tower Editor UI
z UI Options and Properties
z Loadport Motion States
z New ECS UI Options
z Previous Customized Signal Tower Configurations

Overview
The Equipment Control Software (ECS) supports four signal tower light colors. Previously, the
light order could only be red, yellow, green, and blue, from top to bottom respectively. Based
on customer special needs and requests, four customization options had been developed and
added to the signal tower tab of Loader Setup in previous releases of the ECS. However, with

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 393
Subsystems Light Tower

the implementation of the STEditor, these customization options have been removed from the
Light Tower tab. Each of these four customization files are now available for customers that
need them (for details, see “Previous Customized Signal Tower Configurations” on page 429).
The STEditor enables the user to configure the signal tower behavior based on common state
models and conditions. In addition, the order of the lights on the physical signal tower can now
be changed.
The stand-alone STEditor can be launched by going to Start > All Programs > Genmark >
Light Tower Configuration Tool from the Windows desktop. Or, you can access the
embedded version in the ECS by going to Setup Tools > Material Handler Tools > Loader
Setup > Preferences tab > Signal Tower tab:

Figure 272: Signal Tower Configuration Tool in the ECS

Signal Tower Editor UI


From the Signal Tower Editor, you can specify the exact combination of conditions for each light
behavior as well as the buzzer. The application supports four lights from a choice of five different
colors. When the standalone STEditor is launched, the following screen appears:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 394
Subsystems Light Tower

Figure 273: Signal Tower Editor Main Screen (Default Configuration)

A similar UI appears when accessing the STEditor from within the ECS (see Figure 272 on
page 394).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 395
Subsystems Light Tower

When it opens, the STEditor loads the signal tower configuration file for the equipment type on
which it is installed. The main screen displays the current condition defined for each light and
buzzer state according to that configuration file. Each light has three states:
z Blink
z On
z Off
Each state is associated with one set of conditions. When the condition is true, the light goes
into that state.
The following section describes all of the options and properties of the STEditor.

UI Options and Properties


This section details all of the options available and any applicable rules for the following
properties of the Signal Tower Editor. The images shown in this section are based on the
standalone application, which is similar to the version available within the ECS. Any differences
in the UI will be mentioned. The following topics are covered:
z Menu Bar
z Toolbar
z Columns
z Information Pane
z File Path Bar
z Status Bar
z Right-Click Menu

Menu Bar

The Menu Bar consists of the following four properties:


„ File
Lists all files and functions related to the Signal Tower Editor, including Open, Save,
Import, Export, Reset to Default, and Exit.
„ Edit
Lists all edit-related functions, including Move Up, Move Down, New AND Condition, New
OR Condition, and Remove Condition.
„ Equipment Type

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 396
Subsystems Light Tower

If the ECS is fully installed, the Equipment Type menu and the Equipment Type drop-
down menu in the Toolbar (see “Equipment Type” on page 400) will be disabled. The
platform of the tool (AP, LSA, Star100) will be displayed in these two menus and the
current signal tower configuration for this platform is displayed in the UI.
If the ECS is not installed or there is no machine variable file, the STEditor standalone
application will open with nothing on the screen, and the Equipment Type menu and
Equipment Type drop-down menu will be enabled. The user can then select which
platform to work on. This enables the user to configure the signal tower for different
tools on one machine.
„ Help
Contains information about the STEditor, which lists the configuration utility version
number.

Toolbar

The Toolbar contains the following icons and drop-down menu:


„ Move Up
Active only for the Color and State columns, it moves the selected signal State cell, along
with its Final Condition (illustrated in Figure 279 on page 406), up one cell in the column.
„ Move Down
Active only for the Color and State columns, it moves the selected signal State cell, along
with its Final Condition (illustrated in Figure 279 on page 406), down one cell in the
column.
If the user attempts to move a State down to the lowest priority row (Always True) of
that signal, all conditions for that state will be deleted, and the following warning
message appears:
“The condition originally associated with this state will be lost. Click ‘Yes’ if you want
to move the state.”
„ Open Configuration File
After making any changes to the current configuration file, this button will load (revert
to) the last saved configuration into the STEditor. Thus, you can easily “undo” any
changes made since last saving the file.
In the version imbedded in the ECS, the related function is a button called Cancel:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 397
Subsystems Light Tower

NOTE . . .
IF THE SIGNAL TOWER LIGHT ORDER LAST SAVED IS DIFFERENT THAN THE LIGHT ORDER WHEN THE ECS WAS
LAST OPENED, THE SIGNAL TOWER ICON IN THE UI WILL NOT REFLECT THE LAST SAVED CONFIGURATION UNTIL
THE ECS IS RESTARTED.

„ Save
Saves any changes made to the current configuration file. In the version imbedded in
the ECS, the related function is also a button called Save:

The Save function of the standalone application does not change the signal tower
behavior. To apply any configuration changes made to the physical signal tower, press
the Reload Button on the General tab of the Loader Setup tool (for details, see “Reload
Button” on page 427):

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 398
Subsystems Light Tower

The Save function in the embedded application automatically reloads, and the signal
behaviors take effect immediately after the Save button is pressed.
„ Import
Imports a configuration file, and the content of that file is displayed in the STEditor
screen. In the version imbedded in the ECS, the related function is a button called
Import:

After importing a file, the behaviors are not applied to the system signal tower until the
user clicks Save.

NOTE . . .
AFTER IMPORTING A NEW CONFIGURATION FILE WITH THE COLOR ARRANGEMENT DIFFERENT THAN THE EXISTING
ARRANGEMENT, THE SIGNAL TOWER ICON IN THE UI WILL NOT REFLECT THE NEW ARRANGEMENT UNTIL THE
SAVE BUTTON IS PRESSED AND THEN THE ECS IS RESTARTED.

After the import function completes, a message to that effect is displayed in the Status
Bar along with the full path of the imported file.
„ Export
Exports the current configuration displayed in the STEditor screen as a text file in the
desired location. In the version imbedded in the ECS, the related function is also a button
called Export:

After the export completes, a message to that effect appears in the Status Bar along with
the full path of the exported file.
The software automatically checks for correctness of the configuration before exporting
the file, including for following:
‹ If Object, Attribute, and Value match
‹ If Object, Attribute, and Value are complete
‹ If Operator usage is correct for Object, Attribute, and Value
‹ Repeated conditions for two states of a signal
‹ Invalid condition combinations
If any error is detected, the following message is displayed:
“The content on the screen cannot be exported into the file.”
„ Reset to Default
Loads the STEditor screen with the default signal tower configuration file. In the version
imbedded in the ECS, the related function is a button called Reset:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 399
Subsystems Light Tower

NOTE . . .
SEE Figure 273 on page 395 FOR THE DEFAULT CONFIGURATION.

„ Add New AND Condition


A blank row for a new AND condition is inserted beneath the row in which the cursor is.
In the version imbedded in the ECS, the related function is a button called New AND
Condition:
You can also access this function by right-clicking in a cell, then selecting New AND
Condition from the menu.
„ Add New OR Conditions
Inserts the following new rows for a new OR condition beneath the last row of that state:
‹ An OR row
‹ A new blank row beneath the OR row
In the version imbedded in the ECS, the related function is a button called New OR
Condition:
You can also access this function by right-clicking in a cell, then selecting New OR
Condition from the menu.
„ Remove Selected Conditions
Deletes the row of conditions in which the cursor is. In the version imbedded in the ECS,
the related function is a button called Remove Condition:
When a row of conditions is removed, all cells in that row are also removed. If the row
removed is the only row for that signal state, the contents of the last row is erased.
You can also access this function by right-clicking in a cell, then selecting Remove
Condition from the menu.
„ Equipment Type
If the ECS is fully installed, the Equipment Type drop-down menu (and the Equipment
Type menu on the Main Menu bar) will be disabled. The platform of the tool (AP, LSA,
Star100) will be displayed in the menu and the current signal tower configuration for this
platform is displayed in the UI.
If the ECS is not installed or there is no machine variable file, the STEditor standalone
application will open with nothing on the screen, and the Equipment Type drop-down
menu (and the Equipment Type menu on the Main Menu bar) will be enabled. The user
can then select which platform to work on. This enables the user to configure the signal
tower for different tools on one machine.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 400
Subsystems Light Tower

Columns

This section details all columns and their associated properties and options in the STEditor.
This section contains the following topics:
„ Color
„ State
„ Selector
„ Object
„ Attribute
„ =/!=
„ Value
„ And

Color
The Color column supports four light colors and one buzzer. The order in which the colors
appear in this column defines the color order of the physical signal tower.
You can specify the color order by one of the following three methods:
‹ Color drop-down menu:

Select one of the five colors listed on the menu to assign that color to the selected
cell:
‘ Red
‘ Yellow
‘ Green
‘ Blue
‘ Clear
‹ Up and Down Arrows

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 401
Subsystems Light Tower

Use the Move Up or Move Down arrow after clicking in the color cell for which you
want to change the order.
‹ Right-Click Menu
Right-click in the cell for which you want to change the order and select either Move
Up or Move Down to move up or down one cell:

Color/Buzzer Rules
The following rules apply for colors and the buzzer:
‘ Only four light signals can be configured.
‘ Any color can be used twice.
‘ Only one buzzer is allowed.
‘ The order of colors in the STEditor Color column defines the actual color order of
the physical signal tower.
‘ The Signal Tower icon in the ECS displays the same order of lights as configured
in the STEditor screen (after saving the configuration and then restarting the
application, or ECS if using the embedded application):

‘ The colors can be moved up or down in the screen by using the Move Up and
Move Down buttons.

State
Specifies the state for each light and the buzzer. Each light color consists of the following
three state options, with the buzzer consisting of only the On and Off state:
‹ Blink
‹ On
‹ Off

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 402
Subsystems Light Tower

State Rules
The following rules apply for states:
‹ The order in which a state appears in the State column for each color describes the
priority ranking of that state among the other states for that light. The higher in the
list a state is, the higher the priority it has over the other states for that light.
‹ You can change the state order by clicking in a cell, then selecting a state from a
drop-down menu:

‹ All conditions associated with an entry in the State column, along with the entry
itself, form a set that can be moved up or down the list by using Move Up and Move
Down buttons.
‹ One state on this table is described by one or more conditions.
‘ When the condition for a state is met, the light is changed to that state.
‘ If conditions for multiple states are met, the higher priority state among them
takes effect.

State Conditions
Logically, the condition of a signal state is composed of the Selector, Object,
Attribute, =/!=, Value, and And columns and the OR row:

Each state consists of one or more Basic Conditions, one or more Subconditions, and
a Final Conditionn, which are all described below.
‹ Basic Conditions

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 403
Subsystems Light Tower

Each Basic Condition of a state is defined within an entire row, which consists of
the Selector, Object, Attribute, =/!=, Value, and And cells, as shown in Figure 274:

Figure 274: Basic Condition Row

Basic Conditions are joined by AND, which take the form of (a AND b). Thus, a is a
Basic Conditions and b is a Basic Condition. Basic Condition rows joined by AND are
represented in the AND cell by brackets circled in red in Figure 275):

Figure 275: Rows of Basic Conditions Joined by AND

‹ Subconditions
Each Subcondition of a state can consist of one or more Basic Conditions.
Subconditions are joined by OR, as shown in Figure u:

Figure 276: Subconditions

Thus, a Basic Condition is also a Subcondition when it is joined with one or more
other Basic Conditions by OR, such as the following examples:
‘ a OR b OR c
a, b, and c are all Subconditions in this example.
‘ (a AND b) OR (c AND d) OR e
(a AND b), (c AND d), and e are all Subconditions in this example.
‹ Final Condition

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 404
Subsystems Light Tower

A Final Condition consists of all Basic Conditions and Subconditions joined by OR


of a single state for a signal light or the buzzer, which is circled in red in Figure 277:

Figure 277: Final Condition

Final Conditions are joined visually in each cell by a thick brown vertical line or
brackets at both ends of each row (circled in blue in Figure 277).
Final Conditions are separated from each other visually by a thick black line
separating the last row of one Final Condition and the first row of another Final
Condition (as shown circled in red in Figure 278):

Figure 278: Signal Light States

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 405
Subsystems Light Tower

Figure 279 shows one example of a light state with combined conditions that defines
the green light behavior.

Figure 279: Signal Condition Example

Final Conditions consist of this form:


(a AND b) OR (c AND d) OR (e AND f AND g) OR h
All conditions that involve a nested (OR) should be reconstructed by the user before
entering into this configuration table in simple form.
Each signal state could involve conditions from different state models.

Selector
Active only if any of the following are selected in the Object cell:
‹ Process Job
‹ Control Job
‹ Load Port
‹ Substrate
‹ Substrate Location
When active, the Selector cell will contain a drop-down menu with the following options:
‹ ANY

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 406
Subsystems Light Tower

If any Object of this type has the attribute of this value, the result after this Selector
is True. For example:
Green on any Loadport Transfer State = Ready to Load
Then, if the transfer state of any loadport (Loadport 1 or Loadport 2) goes into
the Ready to Load state, the green light will turn on.
‹ ALL
If all Objects in this category has the attribute of this value, the result after this
Selector is True.
‹ NONE
If none of the Objects in this category have the attribute of this value, the result after
this Selector is True.
‹ FIRST
Active only if Control Job or Process Job is selected in the Object cell, if the first
Object in the queue of this category has the attribute of this value, the result after
this Selector is True.

Object
The items on the Object drop-down list represent all Objects that can affect signal light
or buzzer behavior. Objects determine which Attribute and Value options are available.
Thus, first select the Object when defining a Basic Condition.
If the Object is changed after defining a Basic Condition, then options for the other cells
(Attribute, Value, etc.) of that Basic Condition will also change and need to be selected
according to the new options available.
After left-clicking in an Object cell, the drop-down menu contains the following Objects:

‹ <No Selection>
When selected, all other cells for this state are disabled.
‹ Equipment

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 407
Subsystems Light Tower

‹ Process Job
‹ Control Job
‹ Load Port 1
‹ Load Port 2
‹ Load Port
‹ Substrate
‹ Substrate Location
‹ Wafer ID Reader
‹ User Interaction
‹ Performance Tracking
‹ Login Level

Attribute
Each cell contains a drop-down menu with options relative to the Object selected in that
row (Basic Condition). Table 15 shows the Attributes available for each Object. Most are
SEMI state models for E30, E40, E90, E94, E109, E115, and E118.

Table 15: Possible Object Attributes

Object Selected Attributes Available

Equipment Communication State


Control State
System State
Manual Maintenance State
Auto Maintenance State
Process State

Process Job Job State


Waiting for Material

Control Job Job State

Load Port 1 Access Mode


Reservation State
Association State
Transfer State
Motion State
CarrierID Status
Slot Map Status
Carrier Access

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 408
Subsystems Light Tower

Table 15: Possible Object Attributes (Continued)

Object Selected Attributes Available

Load Port 2 Access Mode


Reservation State
Association State
Transfer State
Motion State
CarrierID Status
Slot Map Status
Carrier Access

Load Port Access Mode


Reservation State
Association State
Transfer State
Motion State
CarrierID Status
Slot Map Status
Carrier Access

Substrate Substrate State


Substrate Processing State

Substrate Location Carrier Locations


Equipment Locations

Wafer ID Reader Operation State


Alarm State
Read Head State

User Interaction Critical


Warning
Notification

Performance Tracking Equipment


Robot
Prealigner
Load Port 1
Load Port 2
Stage
Heat Station
Cool Station
Track
Library
Reticle Arm
Reticle Stage

Login Level Level

=/!=
Establishes the relationship between the Attribute and Value cells for that row of equal
to or not equal to. Simply click in the cell to change to the following:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 409
Subsystems Light Tower

‹ = (equal to)
‹ != (not equal to)

Value
A drop-down menu provides options based on the Object and Attribute pair selected for
that row. Table 16 shows the Values available per each Object/Attribute pair for all
equipment types. Thus, actual options available will be appropriate for your equipment
type. Most are based on SEMI state models (refer to the SEMI Standards for details).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 410
Subsystems Light Tower

Table 16: Values Per Object-Attribute Pair

Object Attribute Values Available Standard


Equipment Communication Not Communicating E30
Communicating
Disabled
Control State Equipment Off-Line E30
Attempt On-Line
Host Off-Line
On-Line Local
On-Line Remote
System State Idle Not Initialized See “System
Busy Initialize States” on
Idle Finished page 418 for
Idle Ready details.
Busy Setup
Busy Recipe
Busy Paused
Busy Service
Busy Stopping
Busy Aborting
Alarm (Irrecoverable Error)
Manual Maintenance No Includes all
State Yes activities in the
Setup Menu and all
Manual
Maintenance
functions, including
Manual Assist in
Setup Tools and all
setup functions
Auto Maintenance State No Includes any
Yes Automatic
Maintenance
functions, including
automatic gas
change.
Process Init (Idle Not Initialized) E30
Idle (Initialized)
Setup
Ready
Pause
Executing
Idle with Alarm

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 411
Subsystems Light Tower

Table 16: Values Per Object-Attribute Pair (Continued)

Object Attribute Values Available Standard


Process Job Process Job State Queued E40
Setting Up
Waiting for Start
Processing
Process Complete
Stopping
Pausing
Aborting
Waiting for Material No E40
Yes
Control Job Queued E94
Selected
Waiting for Start
Executing
Paused
Completed

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 412
Subsystems Light Tower

Table 16: Values Per Object-Attribute Pair (Continued)

Object Attribute Values Available Standard


Loadport Access Mode Manual E87
Auto
Reservation Not Reserved E87
Reserved
Association Not Associated E87
Associated
Transfer State Out of Service E87
Transfer Blocked
Ready to Load
Ready to Unload
Motion State Loading: E87 (see “Loadport
Carrier Arriving (loading boundary) Motion States” on
Carrier Placed and Unclamped page 418)
Carrier Placed and Clamped
Carrier Placed and Docked
Carrier Opening
Unloading:
Carrier Closing
Carrier Closed and not Undocked
Carrier Undocked
Carrier Unclamped
Carrier Leaving (unloading
boundary)
Carrier ID Reading ID not Read E87
Status Waiting for Host
ID Verification OK
ID Verification Failed
Slot Map Status Slot Map not Read E87
Waiting for Host
Slot Map Verification OK
Slot Map Verification Fail
Carrier Access Not Accessed E87
In Access
Carrier Complete
Carrier Stopped
Loadport 1 (same E87
as Loadport)
Loadport 2 (same E87
as Loadport)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 413
Subsystems Light Tower

Table 16: Values Per Object-Attribute Pair (Continued)

Object Attribute Values Available Standard


Substrate Objects State At Source E90
At Work
At Destination
Processing State Need Processing E90
In Process
Processed
Rejected
Stopped
Aborted
Lost
Skipped
Substrate Location Carrier Locations Unoccupied E90
Occupied
Unknown
Unavailable
Equipment Locations Unoccupied E90
Occupied
Unknown
Unavailable
Wafer ID Reader Operation State Idle E118
Busy
Maintenance
Alarm State Alarm E118
No Alarm
Read Head State Idle E118
Busy
Not Operating
User Interaction Critical Call User! See “User
User Acknowledged Interaction” on
Condition Present, page 420 for
But User Not Required details.
No Condition
Warning Call User! See “User
User Acknowledged Interaction” on
Condition Present, page 420 for
But User Not Required details.
No Condition
Notification Call User! See “User
User Acknowledged Interaction” on
Condition Present, page 420 for
But User Not Required details.
No Condition

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 414
Subsystems Light Tower

Table 16: Values Per Object-Attribute Pair (Continued)

Object Attribute Values Available Standard


Reticles Reticle State Reticle not Present E109
Waiting Qualification
Reading ID
Reticle Waiting for Host
Rejected
In Use
Qualified
Allocation State Not Allocated E109
Allocated
Reticle Location Carrier Location Unoccupied E109
Occupied
Unknown
Unavailable
Equipment Location Unoccupied E109
Occupied
Unknown
Unavailable

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 415
Subsystems Light Tower

Table 16: Values Per Object-Attribute Pair (Continued)

Object Attribute Values Available Standard


Reticle Library Access Mode Manual E109
Auto
Reservation Not Reserved E109
Reserved
Association Not Associated E109
Associated
Transfer State Out of Service E109
Transfer Blocked
Ready to Load
Ready to Unload
Motion State Loading: E109 (see
Carrier Arriving (loading boundary “Loadport Motion
Carrier Placed and Unclamped States” on
Carrier Placed and Clamped page 418)
Carrier Placed and Docked
Carrier Opening
Unloading:
Carrier Closing
Carrier Closed
Carrier Unclamped
Carrier Leaving (unloading
boundary)
Reticle Pod ID Status ID not Read E109
Waiting for Host
ID Verification OK
ID Verification Failed
Pod Slot Map Status Slot Map not Read E109
Waiting for Host
Slot Map Verification OK
Slot Map Verification Fail
Access State Not Available E109
Available
In Access
Carrier Complete
Pod Locking Status Not Locked E109
Released and Locked
Hold

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 416
Subsystems Light Tower

Table 16: Values Per Object-Attribute Pair (Continued)

Object Attribute Values Available Standard


Performance Equipment Idle E116
Tracking Blocked
Busy
Robot Idle E116
Blocked
Busy
Prealigner Idle E116
Blocked
Busy
Loadport 1 Idle E116
Blocked
Busy
Loadport 2 Idle E116
Blocked
Busy
Stage Idle E116
Blocked
Busy
Heat Station Idle E116
Blocked
Busy
Cool Station Idle E116
Blocked
Busy
Track Idle E116
Blocked
Busy
Library Idle E116
Blocked
Busy
Reticle Arm Idle E116
Blocked
Busy
Reticle Stage Idle E116
Blocked
Busy
Login Level Not Logged In See “Login Level”
User on page 422 for
Technician details.
Engineer
Supervisor

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 417
Subsystems Light Tower

System States
System States reflect the current general state of the equipment. The possible states
are:
‘ Idle Not Initialized
Equipment is not running a job and is not ready to run a job. It is not in the
initialized state.
‘ Busy Initialized
Equipment is being initialized.
‘ Idle Finished
Equipment is successfully initialized and is idle. All components are ready for
running a job, but it is not currently running a job. A job has not been created yet.
‘ Busy Setup
Equipment is ready to run a job. The host or operator has sent the request to
create a job. A job is being created.
‘ Idle Ready
Equipment is ready to run a job. A job has been created, and the materials have
arrived for the job. The equipment is waiting for a Start command from the host
or operator to start job processing.
‘ Busy Recipe
Equipment is busy running a job.
‘ Busy Paused
Equipment is paused by the Pause command issued by the host or operator. All
motions have stopped. The job remains in the job queue and can be resumed by
the Resume command from the host or operator.
‘ Busy Service
Equipment is moving wafers during a maintenance function, which covers Wafer
I/O, Reticle I/O, and all wafer movements in the Setup Tools, including Transfer
Jobs, the Get button, and the Put button.
‘ Busy Aborting
Equipment is in the process of aborting a job. All wafers are being put back to
the carrier or track. All jobs have been removed from the job queue.
‘ Alarm
Equipment has an active alarm. These are irrecoverable errors. All jobs are
aborted. All motions are stopped.

Loadport Motion States


At any moment, a loadport or reticle library can be in one of three possible motion
states:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 418
Subsystems Light Tower

‘ Loading
The Loading state includes the time period of the following substates combined.
Each substate occurs one after another in a series without a gap.
Š Carrier arriving
The carrier is between the “Start” and “End” loading boundaries.
Š Carrier placed and unclamped
The carrier loading handoff is completed but the carrier and is not clamped.
Š Carrier placed and clamped
Covers the interval between the “Carrier placed and unclamped” and “Carrier placed
and docked” states, including the docking procedure and carrier ID verification.
Š Carrier placed and docked
The carrier is closed and at the docked position.
Š Carrier opening
This state includes door opening, scanning, and verification of the slot map.
‘ Unloading
The Unloading state includes the time period of the following substates
combined. Each substate occurs one after another in a series without a gap.
Š Carrier closing
The loadport door is closing.
Š Carrier closed and not undocked
The carrier is either closed at docked position or in the process of undocking.
Š Carrier undocked
The carrier is at the undocked position but still clamped.
Š Carrier unclamped
The carrier is unclamped, and the unloading handoff has not stared yet.
Š Carrier leaving
The carrier is between the “Start” and “End” unloading boundaries.
‘ Idle
Not a selectable value for the Motion State attribute, a loadport is in an idle
motion state in two situations:
Š When the PortTransferState property is either ReadyToLoad or OutOfService
Š When the loadport has a carrier open and its SlotMapStatus property is either
Verifications or VerificationFailed
In all other situations, the loadport is in either a loading or unloading motion
state.
The loadport motion state is determined by the last operation executed by the
loadport. Thus, all exceptional scenarios (such as carrier verification failure, etc.) are
covered.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 419
Subsystems Light Tower

The loading and unloading states are divided into substates that do not overlap and
cover the duration of the whole super-state.

User Interaction
User Interaction behaviors are the same as those of the Customization 4 option on
the Light Tower tab of Loader Setup in previous releases of the software:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 420
Subsystems Light Tower

Table 17 describes the User Interaction behaviors.

Table 17: User Interaction Behaviors

User Condition Present,


Call User! User Acknowledged No Condition
Interaction But No User Required
Critical Problem has occurred that Indicates that user Condition is present, No alarm or
has impact on wafers, acknowledged the but human critical fault is
which includes recoverable alarm or critical fault intervention is not present, and all
and irrecoverable alarms by clearing the alarm required. critical errors are
that interrupt or stop the or silencing the buzzer, fixed.
job. See Critical - Call User! but it is not yet fixed.
User Interaction Example
below.
Warning Problem is present that Indicates that user Condition is present, No human
requires human acknowledged the but human intervention
intervention, such as condition, but it is not intervention is not action is needed,
temperature at warning yet fixed. required. The ECS and all warning
level or consumable parts will automatically fix errors are fixed.
reach replacement point, the condition, such
but wafer processing as an auto gas
continues. See Warning - change.
Call User! User Interaction
Example below.
Notification Problem is present that Indicates that user N/A No human
requires human responded to the UI intervention
intervention to respond to a wizard or Manual action is needed.
UI wizard or Manual Assist, Assist.
but nothing is broken to be
repaired or replaced. See
Notification - Call User!
User Interaction Example
below.

User Interaction Examples


The following are examples of User Interaction Call User! behaviors:
‹ Critical - Call User!
The following error conditions cause a Critical alarm to appear on the Alarm screen:
‘ Robot Get error
‘ Stage failed to move
‘ Scheduled maintenance task in error state
‘ Hardware error
If the condition is a recoverable error, the job is interrupted, and all recovery options
are enabled. Thus, the user can continue the job after selecting a recovery option.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 421
Subsystems Light Tower

If the condition is an irrecoverable error, the job is aborted, and only the Clear button
is enabled. A Critical error halts the job and prevents some actions that may be
needed to fix the error condition. Thus, the user must first clear the alarm (remove
the “Halt” state) to enable them to fix the problem that caused the alarm. The job
cannot continue until the Critical error has been fixed.
‹ Warning - Call User!
A Warning alarm includes all scheduled maintenance tasks when the task goes into
a warning period, such as MVS illuminator lamp changes.
A Warning alarm appears on the Alarm screen with the OK button enabled or with
the Clear button disabled. Pressing OK will change the User Interaction Warning state
to “User Acknowledge” but will not dismiss the alarm.
Unlike a Critical error, a Warning error does not halt the job. The alarm simply lets
the user know that a problem is imminent (such as the temperature at warning level
or consumable parts reach replacement point), but the situation has not yet taken
place. A Warning alarm cannot be cleared and stays on the screen until the condition
is fixed by the user, although wafer processing can continue during the warning
period. After the condition is fixed, the alarm does away.
‹ Notification - Call User!
One example would be if the tool drops into Manual Assist mode and user assistance
is required, such as when the MVS fails to capture.

Login Level
Various behaviors apply to the Login Level of the currently logged in user. Possible
levels are the following:
‘ Not Logged In - Login feature is disabled.
‘ User
‘ Technician
‘ Engineer
‘ Supervisor

And
The AND operator joins two or more Basic Condition rows, which are represented by
brackets in the AND cells, as shown in the following image:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 422
Subsystems Light Tower

Final Conditions are represented by bold brown brackets and vertical lines at both ends
of each row, as shown in the following image:

If the conditions joined by AND are both true, the result after this operator is true.

OR
OR operators are represented in the UI as an entire row. The background of an OR row
is grey to easily distinguish it from other rows, as shown in the following image:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 423
Subsystems Light Tower

Information Pane
The Information pane displays in text the Final Condition defined for one signal state to offer
another view of the Final Condition. The signal state in which the cursor is resting is the
condition displayed.

File Path Bar


A File Path Bar above the Status Bar displays the full path for the current configuration file:

Status Bar
The Status Bar helps guide the user for what to do next, when appropriate, by displaying
instructions. It also displays messages, warnings, and errors as well as status and
configuration information that the user should pay attention to:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 424
Subsystems Light Tower

Right‐Click Menu

If you click in any cell, the menu provides the following options:
„ Move Up
See “Move Up” on page 397.
„ Move Down
See “Move Down” on page 397.
„ New AND Condition
See “Add New AND Condition” on page 400.
„ New OR Condition
See “Add New OR Conditions” on page 400.
„ Remove Condition
See “Remove Selected Conditions” on page 400.

New ECS UI Options


This section describes several new options in the ECS UI that have been added to support the
new signal tower configuration tool, STEditor. The following topics are covered in this section:
z Light Signal Preferences Options
z Silence Button

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 425
Subsystems Light Tower

Light Signal Preferences Options


To support the new feature to configure the signal tower, a new Light Signal options box has
been added to the General tab of Preferences in the Loader Setup utility:

Figure 280: New Loader Setup Light Signals Preferences

You can access the Light Signals box by going to Setup Tools > Material Handler Tools
> Loader Setup > Preferences tab > General tab.
The Light Signals box contains the following options:
„ Customized Load Port Lights
In previous releases, this option was on the Signal Tower tab, which now contains the
new signal tower configuration application (see “Signal Tower Editor UI” on page 394).
„ Locking Signal Tower Host Control
Specifies the type of Signal Tower control by the host.
‹ If checked:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 426
Subsystems Light Tower

The host can control each signal state independently of the configured conditions.
Any state changes occurring after the host sends a command to change a light or
the buzzer cannot take effect. The following rules apply:
‘ Any state change required after the host sends a change for that light or buzzer
cannot take effect.
‘ The host commands must specify which signal it intends to take control.
‘ Other lights not specified in the host commands are not affected by host.
‘ One command can specify multiple lights and their states to be controlled by the
host.
‘ When the host sends another command to release the control for one or more
lights, the last state change that occurred will then be the current state change.
Two host commands are issued in this case: one to gain control of a signal’s state
and another to release control of that signal’s state.
‘ This option is the default option.
‹ If unchecked:
The host can send a command to change a light state to On/Off/Blink or the buzzer
to On/Off. Any state change that occurs afterward will take effect immediately,
overriding the light/buzzer state requested by the host.
„ Reload Button
After clicking the Reload button, behaviors in the most recently saved configuration file
will take effect and be applied immediately to the signal tower while the ECS is running.
No restart of the ECS is required.
After reconfiguring the color order of the lights in the STEditor, the ECS will need to be
restarted for the new signal tower configuration to be reflected in the Signal Tower icon
in the UI, shown in Figure 281. Simply pressing the Reload button will not change the
arrangement in the Signal Tower icon.

Figure 281: Signal Tower UI Icon

Silence Button
A Silence button has been added to enable the user to turn off the buzzer. This button will
be enabled only when the buzzer is on. The Silence button has been added to the following
menus:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 427
Subsystems Light Tower

„ Main Menu:

„ Setup Menu:

„ Manual Assist:

„ Alarms Menu:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 428
Subsystems Light Tower

Previous Customized Signal Tower Configurations


Prior to this release of the software, four customization options were available on the Light
Tower tab of the Loader Setup tool, as shown in the following image:

With the 4.3 release of the ECS software, these options have been removed from the ECS.
However, these special custom configuration files are available. Please contact Ultratech Tech
Support for the specific file needed.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 429
Subsystems Illuminator

Illuminator
The illuminator is located on the top structure of the stepper. Refer to Figure 282 and
Figure 283.

Figure 282: Dual Illuminator Assembly

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 430
Subsystems Illuminator

Figure 283: A Cross Sectional View of the Dual Illuminator from the Right Side

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 431
Subsystems Illuminator

Figure 284: Components Inside a Lamp Housing

Cold Mirror
Light
Pipe
UV Filter

Quartz Shield

Air Cooling
Connection
Ellipsoidal
Reflector

Air Flow Exhaust


Switch Hood
Connector

Mercury
Arc Lamp

Purpose
To get the reticle image exposed on the wafer correctly, a light source is needed that can supply
intense light for exposure.

Theory of Operation
The illuminator provides uniform light from a mercury arc lamp to expose wafers. Exposure light
is available in three configurations, GH only, I only, and GHI. The exposure configuration is
specified in process program data.
The illuminator uses a 1250 watt (single) or 2×1250 watt (dual) mercury arc lamp to transmit
light through reticle openings and exposes photoresist onto the wafer to form the patterns used
for device layers.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 432
Subsystems Illuminator

CAUTION
SINCE THE LAMP OPERATES AT EXTREMELY HIGH TEMPERATURES AND PRESSURES,
IT MAY BURST DURING OPERATION RELEASING POISONOUS MERCURY VAPOR.
NEVER OPEN THE LAMP CHAMBER WHEN THE LAMP IS HOT.

NOTE . . .
THE LAMPHOUSE EXHAUST MUST BE CONNECTED TO A FACILITIES EXHAUST SYSTEM AT ALL TIMES.

Safety
WARNING
THIS LAMP, WHEN ENERGIZED, PRODUCES INTENSE ULTRAVIOLET
RADIATION WHICH IS POTENTIALLY HARMFUL TO THE EYES AND SKIN.
THE LAMP MUST NEVER BE TURNED ON WHEN THE LAMP HOUSE
CHAMBER IS NOT SECURELY INSTALLED IN THE ILLUMINATOR.

WARNING
MERCURY IS AN EXTREMELY HAZARDOUS SUBSTANCE•••

WARNING
THE LAMP, WHEN AT ROOM TEMPERATURE, IS NOT UNDER PRESSURE.
BECAUSE OF THE LIQUID MERCURY IT CONTAINS, THE LAMP SHOULD BE
HANDLED CAREFULLY. IF A LAMP IS BROKEN, USE A FILTERED EXHAUST
VACUUM CLEANER TO REMOVE ANY MERCURY AND GLASS PARTICLES
FROM THE WORK AREA. ANY PARTS OF THE BODY OR GARMENTS
EXPOSED TO THE MERCURY SHOULD BE THOROUGHLY CLEANED
IMMEDIATELY.

WARNING
IN NO EVENT WILL ULTRATECH BE LIABLE FOR ANY DAMAGES OF
WHATEVER NATURE SUSTAINED BY ANY PARTY AS A RESULT OF THE
IMPROPER USE OR MAINTENANCE OF THIS LAMP.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 433
Subsystems Illuminator

WARNING
IN NO EVENT WILL ULTRATECH BE LIABLE FOR ANY INCIDENTAL,
SPECIAL OR CONSEQUENTIAL DAMAGES RESULTING FROM THE USE OR
MAINTENANCE OF THIS LAMP, WHETHER SUCH USE OR MAINTENANCE IS
PROPER OR IMPROPER.

NOTE . . .
DETAILED INFORMATION CONCERNING THE SAFETY AND HANDLING OF MERCURY CAN BE OBTAINED FROM
AMERICAN NATIONAL STANDARDS ANSI Z37-8-1971 AND OSHA SAFETY AND HEALTH STANDARDS (29 CFR
1310).

Required Safety Equipment


z Warning labels posted on the Illuminator
z Approved vacuum cleaner/mercury spill cleanup kit
z Gloves
z Storage containers for lamp disposal
z UV safety glasses, face shield (when removing lamp)

Component Description
The illuminator includes the following (refer to Figure 284).
z Lamp Chamber Exhaust Hood — directs air flow through the lamp chamber and across
a heat sink to the exhaust system
z Lamp Chamber Housing — provides an enclosure for the mercury arc lamp
z Mercury Arc Lamp — 1200 (1250) watt lamp
z Ellipsoidal Reflector — reflects and focuses the light from the lamp into the light pipe
z Cooling Tubes — provides vital cooling to the lamp
z Quartz Shield — protects UV blocking filter
z UV Blocking Filter — blocks all ultraviolet light below the I -line, 360 nm and shorter
z Cold Mirror — reflects ghi light for exposure, and transmits all other wave lengths
z Alignment Pickup Mirror — reflects alignment light (green light—540-640 nm) used for
reticle stage setup, while transmitting all other light
z Heat Sink — exhausts infra-red light (> 640 nm) induced heat from the illuminator
z Exposure Shutter — blocks exposure light when closed, and allows it to be passed when
open.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 434
Subsystems Illuminator

z Light Pipe Assembly — diffuses and homogenizes the exposure light, improving
uniformity
z Exposure Detector —measures exposure light energy
z Alignment Shutter — allows alignment light to be passed to the reticle
z Focusing Lens — focuses light onto reticle

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 435
Subsystems Light Spectrum

Light Spectrum
The following figure shows the whole light spectrum delivered by mercury arc lamp. The G, H,
and I wavelengths are used for exposure. The remaining UV and Deep UV are filtered out. The
E and 578 nm are used for viewing and setting up stepper (green light). The higher wavelengths
(> 640 nm) are also filtered out.

Figure 285: Mercury Arc Lamp Exposure Spectrum

Ultraviolet G Visible Infrared


I 436
365 H
405 E
546

<350 nm UV
Filter Blocks 578
>640 nm Cold
313 Mirror Blocks

exposure light green light

DUV
248

Continuum
220

300

400

500

600

700

750

Wavelength (nm)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 436
Subsystems Arc Lamp Power Supply

Arc Lamp Power Supply


Located in the electronics rack. There are two available illuminators, a 1200 watt single or a
1200 watt dual, and therefore two possible power supplies.

Purpose
To provide regulated power to the mercury arc lamp(s) in the illuminator(s).

Theory of Operation
The arc lamp power supply (Figure 286) is a power regulator. As directed by the computer, the
unit outputs the required voltage and current to maintain constant power to the mercury arc
lamp at any given time. The arc lamp power supply outputs 1200 watts. The illuminator
controller controls:
z Ignition and the power applied to the mercury arc lamp
z Alignment shutter
z Exposure shutter
z Exposure time
z Exhaust fan
z Lamp cooling
The illuminator controller also monitors:
z Arc lamp voltage and current parameters
z 80 PSI lamp cooling pressure
z Lamp chamber temperature
z Depending on circumstances, the controller can:
„ Advise the operator of system conditions/errors
„ Warn the operator of impending lamp shutdown
„ Turn off the lamp during unsafe conditions

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 437
Subsystems Arc Lamp Power Supply

Figure 286: Dual Illuminator Controller

Illuminator System Operation


1. During normal stepper start-up, the operator control panel ILLUMINATOR ON switch is used
to turn the illuminator on. This provides power to the illuminator controller.
2. The illuminator controller’s AC POWER (circuit breaker) switch is normally left on, and the
controller will go through a self-test sequence when power is applied. The display on the
front panel will count down 3 - 2 - 1 - 0:
„ 3 - during ROM checksum test
„ 2 - during RAM test
„ 1 - during D/A - A/D test
„ 0 - All tests passed
„ Error Code - displayed if any test fails
The AC POWER, DC POWER, and AMPLIFIER ON LEDs on its front panel will be illuminated.
3. When the illuminator is powered on, the mercury arc lamp is ignited automatically. If it does
not automatically ignite, the LAMP START switch will need to be pressed to turn the lamp
on. The illuminator controller ignites the 1200 watt mercury arc lamp(s) and maintains the
lamp power at 1200 watts. The LAMP ON LED will light when the mercury arc lamp is on.
4. Initially, the only cooling supplied to the lamp chamber is from facility exhaust. Two minutes
after the mercury arc lamp reaches the proper temperature the LAMP WARM LED will be lit.
Immediately after the LAMP WARM LED comes on, the controller turns on the exhaust fan
for the 4 inch cooling hose, and directs 80 psi controlled cooling air onto the arc lamp.
5. For an exposure, the illuminator controller closes the alignment shutter (if it was open) and
opens the exposure shutter.
6. The illuminator’s exposure detectors/photo preamp board sends a signal to the controller,
indicating the intensity of the exposure light, as the exposure takes place.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 438
Subsystems Arc Lamp Power Supply

7. The illuminator controller determines the length of exposure time required, given the
intensity of the exposure detector’s signal and the total quantity of light requested (in
millijoules from the system controller). When the energy specified has been detected, the
controller closes the exposure shutter.
8. The illuminator controller performs the following additional tasks:
8.1 If the arc lamp voltage exceeds a maximum limit (90 volts), the lamp will be shut off
(error code 13).
8.2 If the lamp chamber gets too warm or the 80 psi for lamp cooling stops, then the
operator will receive a 5-minute warning before the lamp is turned off (error code 29).
If the problem persists, the lamp will be turned off (error code 30).
9. The operator control panel ILLUMINATOR OFF switch can be used for mercury arc lamp
changes. After the arc lamp chamber is replaced, the ILLUMINATOR ON switch can be used
to turn the illuminator back on.
10. When power is removed from the illuminator controller, the exhaust fan will stop and the 80
psi for lamp cooling will no longer flow. Facility exhaust will continue to cool the lamp
chamber assembly.

WARNING
ALLOW FACILITY EXHAUST TO CONTINUE TO COOL THE LAMP CHAMBER
ASSEMBLY FOR AT LEAST 15 MINUTES WITH THE 4 INCH EXHAUST HOSE
STILL CONNECTED TO THE LAMP CHAMBER EXHAUST HOOD BEFORE
ATTEMPTING LAMP CHAMBER REMOVAL. SEE THE PREVENTIVE
MAINTENANCE MANUAL FOR A DETAILED PROCEDURE TO CHANGE THE
LAMP.

Error Codes
The error code list, see Table 18, provides information about the warning and error code
numbers that may appear on the 2-digit display in the front of the illuminator controller.

NOTE . . .
WHEN THE STEPPER’S CONTROLLER CHECKS (READS) THE ERROR CODE ON THE ILLUMINATOR CONTROLLER, THE
CODE IS CLEARED (SET TO 00).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 439
Subsystems Arc Lamp Power Supply

Table 18: Illuminator Controller Error Codes

Code Description

0 No Error.

1 A/D-D/A Self Test Error.

2 RAM self test error.

3 ROM checksum self test error.

10 Safety interlock open.

11 The 2000 watt-second excess energy limit was exceeded. The lamp power is dropped back to a
safe level.

12 Instantaneous lamp power exceeded limit.

13 The lamp operating voltage exceeded the limit of 90 volts and was shut down.

14 The lamp voltage exceeded 80 volts. Operation will continue normally, but the lamp should be
replaced before the 90 volt limit is reached.

15 The lamp operating voltage decreased more than 14 volts from the historical high and was
automatically shut down.

16 The lamp operating voltage decreased more than 7 volts from the historical high. Operation will
continue normally, but the lamp should be replaced before the 14 volts is reached.

17 The lamp went out without a warning. This may be caused by trying to run the lamp at an intensity
that is too low to maintain conduction. This error may also indicate a lamp explosion.

18 Lamp power supply hardware error.

19 The lamp failed to start after applying high voltage for 3 seconds.

20 GPIB input buffer overflow (string too long).

21 GPIB output buffer overflow (string too long).

22 GPIB input command not recognized.

23 The data field of the received GPIB input string contained illegal characters or was missing.

24 The data field of the received GPIB input string was outside the allowable limit.

25 Lamp is not ready for exposures (still warming up).

26 A/D converter time-out.

27 A/D or D/A comparison error during initialization.

28 Exposure timed out.

29 Air flow sensor signals “Loss of Air.”

29 Lamp shutdown because “Loss of Air” has not been restored.

31 Illuminator busy with exposure.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 440
Subsystems Arc Lamp Power Supply

Ignitor
Depending upon system configuration, one or two igniters are present. They are mounted on
the stepper’s upper frame, near the illuminator(s). They are responsible for providing a short-
term high-voltage pulse to ignite the lamp. Igniter details and connections are shown in
Figure 287.

Figure 287: 1200 Watt Lamp Ignitor

1200 Watt Lamp High Voltage


Ignitor Connector

1200 Watt High Voltage cable

Igniter has the following specifications:


z 300 Volt DC input
z 120 Volt AC control signal
z 25 kV AC output for 100 ns
z It is controlled with an open spark gap
z It uses a steel enclosure to prevent EMI
z It is designed to use the current mounting bracket.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 441
Subsystems Field Aperture

Field Aperture
The field aperture is a three-position aperture, utilizing two movable leaves within a fixed metal
frame. Each leaf is operated by an air motor. Aperture positions are selectable through Process
Program Variables. It restricts the illuminated area of light reaching the reticle surface during
exposures, thus reducing the chance of repeating defects caused by pin holes in the chrome.
There is a glass filter at the top of the aperture that prevents the MVS windows from being
exposed. Refer to the following three figures.

Figure 288: Field Aperture - Position Zero - the Largest Aperture)

Both Leaves Up = No Aperture Glass Filter

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 442
Subsystems Field Aperture

Figure 289: Field Aperture - Position 1 (Large Aperture)

Outer Leaf Down, Inner Leaf Up = Large Aperture

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 443
Subsystems Field Aperture

Figure 290: Field Aperture - Position 2 (Small Aperture)

Both Leaves Down = Small Aperture

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 444
Subsystems Reticle/Prism Cooling System (Optional)

Reticle/Prism Cooling System (Optional)


During the process of projecting images onto a wafer, the reticle and optical system can change
temperature. A cooling system, comprised of the Reticle/Prism Cooler Controller (or, simply
called the Cooler Controller), software, and related equipment, was developed to provide an
automated way to monitor the temperature and maintain it within user-specified parameters.
This is achieved in either an Open Loop Mode or Closed Loop Mode, selected by the user.
Figure 291 shows the basic schematic of components of the cooling subsystem.

Figure 291: Reticle/Prism Cooling System

The following topics are covered for the Reticle/Prism Cooling system:
z Cooling System Overview
z Operational Modes
z Machine Configuration Settings
z Process Program Settings

Cooling System Overview


Cooled or ambient air is blown on the reticle and prism to help keep their temperatures
consistent. An IR sensor continually monitors the temperatures of the reticle and prism,
communicating that data to the controller. When using the Closed Loop mode, the Cooler
Controller responds by either opening or closing ambient and cooled air valves, based on user-

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 445
Subsystems Reticle/Prism Cooling System (Optional)

specified temperature settings, thus regulating the amount of cooled and ambient air blowing
on the reticle and prism. When using the Open Loop mode, a user-specified idle time determines
when cooled air stops blowing on the reticle or prism.
Air is cooled by the Peltier and is mixed with ambient air. The Peltier should be set to a fixed
output during system setup and not varied during system operation. Thermocouples in the air
lines provide additional monitoring information for the Cooler Controller.
Leak detectors are also provided at the water input and output areas.

System Readiness
A job cannot be started until the cooling system is ready. During the initialization process,
the following checks are made of the system:
„ Proper water flow
„ That temperatures are within specified ranges
„ That there are no water leaks
During job creation, if the system detects either that there is no water flow, that there is a
leak, or that temperatures are out of range, then the following message will appear:

Figure 292: Cooling System Initialization Process

Operational Modes
The Reticle/Prism Cooling system provides two modes of operation: Open Loop and Closed
Loop. For details, see “Open Loop Mode” on page 447 and “Closed Loop Mode” on page 448.
You can specify whether to run either the Open Loop or Closed Loop mode by doing the
following:
1. Go to the Variables page.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 446
Subsystems Reticle/Prism Cooling System (Optional)

2. Expand the Process Program Data option on the drop-down menu.


3. Click Reticle Cooling.
4. Select either Open Loop or Closed Loop from the Control Mode drop-down menu, as
shown in Figure 293:

Figure 293: Mode Selection Menu

Open Loop Mode


The Open Loop mode offers two options to use for the air source: ambient air and cooled
air. If the Ambient Air Source option is selected, wafer processing continues without control
mechanisms.Thus, the process is not automated.
If the Cool Air Source option is selected, which provides semi-automatic control over the
process, wafer processing is governed by a user-specified idle time. In this case, the Reticle/
Prism Cooler Controller closes the cooling solenoids based on the specified idle time, which
sets the permissible idle time between wafer processing segments (the end of processing
one wafer until the beginning of the next). During wafer processing, cooled air is blown over
the reticle and prism. At the end of wafer processing, the idle-time counter begins counting
down, based on the user-specified idle time value. If wafer processing begins before the idle
time period expires, the idle-time counter is reset to the specified value, and the system
continues with wafer processing, the cooled air flow continues uninterrupted. However, if
the specified idle time expires before processing begins again, the cooled air is automatically
replaced with ambient air until wafer processing is resumed. When wafer processing
resumes, cooled air is again supplied. Figure 294 illustrates the Open Loop\Cool Air Source
process (the example is used for illustration purposes only):

Figure 294: Open Loop Operation

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 447
Subsystems Reticle/Prism Cooling System (Optional)

Open Loop Process Program Settings


You can specify the delay time and air source for the Open Loop mode in the Variables
page by selecting Process Program Data, then Reticle Cooling from the drop-down
menu:

Figure 295: Open Loop Process Program Settings

‹ Control Mode
Displays the current mode, which you can change from this menu.
‹ Idle Time Before Start Cooling
For the Cool Air Source option, it sets the permissible idle time that begins after wafer
processing is completed until the next processing segment. If the specified time
expires, cooled air is shut off and ambient air is blown. Cool air resumes when wafer
processing begins again.
‹ Air Source
You can select one of the following options as the air source.
‘ Reticle & Prism Ambient
Sets the system to use ambient air only.
‘ Reticle & Prism Cool
Sets the system to use cooled air.
You can also set the Process Program IR sensor temperature set points and limit values
for each field on the reticle and for the prism. For details, see “Field-Specific Temperature
Settings” on page 456. If the Process Program values are different than the Machine
Variables values, the Process Program values will override those in Machine Variables.
For information about Machine Variable settings, see “Machine Configuration Settings”
on page 450.

Closed Loop Mode


The Closed Loop mode automatically maintains the desired temperatures of the reticle and
prism during the entire process, which is controlled by the user-defined set point (the ideal
temperature setting) and two user-defined limits above and below the set point. The first
level, the warning level, specifies that the temperature is either hotter or cooler than the
set-point temperature, but still within the acceptable range. At the second level, the system

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 448
Subsystems Reticle/Prism Cooling System (Optional)

sends an alarm interdiction message that the temperature has reached the maximum hot or
cold limit, and the operation stops. Figure 296 shows this process (the example is used for
illustration purposes only):

Figure 296: Closed Loop Operation

Closed Loop Process Program Settings


You can set the Process Program IR sensor temperature set points and limit values for
each field on the reticle. For details, see “Field-Specific Temperature Settings” on
page 456. If the Process Program values are different than the Machine Variables values,
the Process Program values will override those in Machine Variables. For information
about Machine Variable settings, see “Machine Configuration Settings” on page 450.
You can also change the operating mode (closed and open) in the Variable page by
selecting Process Program Data\Reticle Cooling from the drop-down menu:

Figure 297: Closed Loop Process Program Settings

‹ Control Mode
Displays the current mode, which you can change from this menu.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 449
Subsystems Reticle/Prism Cooling System (Optional)

‹ Idle Time Before Start Cooling


Not applicable in Closed Loop mode.
‹ Air Source
Options not selectable in Closed Loop mode.

Machine Configuration Settings


You can set or change any of the reticle and prism temperature variables and control whether
to receive or not receive interdiction (see definition below) messages for specific sensors. From
the Variables page, do the following:
1. Expand the Reticle Prism Cooling tree of the Machine Configurations drop-down menu,
then select the sensor for which you want to change variables.

Figure 298: Reticle/Prism Cooling Tree

2. From the selected reticle, prism, or IR sensor’s page, enter the Set Point and Limit values.

Figure 299: Entering Sensor Set Point and Limit Values

NOTE . . .
INTERDICTION — THE ABILITY OF THE SYSTEM TO MONITOR SYSTEM AND PROCESS CONDITIONS AND TO
WARN THE USER ABOUT VARIATIONS IN PERFORMANCE FOR THE FOLLOWING LIMITS:

‹ Warning: Notifies the user and continues the process without interruption.
‹ Error: Terminates the process with a recoverable error. The wafer currently being
processed will be halted and must be rejected. After the user determines the cause
of the out-of-limit condition and resolves the issue, the job may be continued.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 450
Subsystems Reticle/Prism Cooling System (Optional)

After the set point, warning, and error limits have been specified, if the set point is ever
changed, then all related limits are automatically adjusted accordingly because they are offsets
from the set point.

NOTE . . .
FOR THE IR SENSOR (TS1), THE RETICLE AND PRISM SET POINT AND LIMIT VALUES SPECIFIED IN MACHINE
VARIABLES WILL BE USED IF THE INTERDICTION REPORT FUNCTION IS ENABLED IN MACHINE CONFIGURATION
AND IF THE INTERDICTION SETTINGS ARE NOT SPECIFIED IN THE PROCESS PROGRAM. FOR THE OPEN LOOP
MODE, ALL TEMPERATURE SET POINT AND LIMIT VALUES ARE USED FOR MONITORING PURPOSES ONLY AND NOT
FOR CONTROL.

Cooling System Sensors


The following sensors exist in the cooling system (see Figure 291). Except for the IR Sensor
(TS1), the settings define the temperatures that represent “normal” or “known good”
operating conditions of the system, independent of the process.
You can configure each sensor from the Reticle Prism Cooling menu in Machine
Configuration (see Figure 298, in “Machine Configuration Settings” on page 450).
„ Reticle Cool (TC3)
This value is the expected temperature of the air that is generated by the Peltier before
it is mixed with ambient and delivered to the reticle. This machine variable should be set
after adjusting the Peltier or after any major temperature change in the system.
This setting represents the Peltier output air temperature in a “known good” condition.
TC3 will be monitored at all times during system operation. If the value of the sensor
deviates from the set point value, the cooling system will not be able to perform
correctly. The interdiction scheme described earlier in this document ensures that the
system always operates in normal conditions.
This setting can be configured from the Reticle Prism Cooling menu in Machine
Configuration (see Figure 298, in “Machine Configuration Settings” on page 450).
„ Prism Cool (TC4)
This value is the expected temperature of the air that is generated by the Peltier, before
it is mixed with ambient air and delivered to the prism. This machine variable should be
set after adjusting the Peltier or after any major temperature change in the system.
This setting represents the Peltier output air temperature in a “known good” condition.
TC4 will be monitored at all times during system operation. If the value of the sensor
deviates from the set point value, the cooling system will not be able to perform
correctly. The interdiction scheme described earlier in this document ensures that the
system always operates in normal conditions.
This setting can be configured from the Reticle Prism Cooling menu in Machine
Configuration (see Figure 298, in “Machine Configuration Settings” on page 450).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 451
Subsystems Reticle/Prism Cooling System (Optional)

„ Reticle Mixed (TC1)


This value is the expected temperature of the air that will be delivered to the reticle. The
air temperature consists of the cooled air from the Peltier mixed with the ambient air. If
the temperature of the air from the Peltier (Reticle Cool TC3) or the ambient air changes,
this set point will be effected. This machine variable should be set after adjusting the
Peltier or after any major temperature change in the system.
TC1 will be monitored at all times during system operation. If the value of the sensor
deviates from the set point value, the cooling system will not be able to perform
correctly. The interdiction scheme described earlier in this document ensures that the
system always operates in normal conditions.
This setting can be configured from the Reticle Prism Cooling menu in Machine
Configuration (see Figure 298, in “Machine Configuration Settings” on page 450).
„ Prism Mixed (TC2)
This value is the expected temperature of the air that will be delivered to the prism. The
air temperature consists of the cooled air from the Peltier mixed with the ambient air. If
the temperature of the air from the Peltier (Prism Cool TC4) or the ambient air changes,
this set point value will be effected. This machine variable should be set after adjusting
the Peltier or after any major temperature change in the system.
TC2 will be monitored at all times during system operation. If the value of the sensor
deviates from the set point value, the cooling system will not be able to perform
correctly. The interdiction scheme described earlier in this document ensures that the
system always operates in normal conditions.
This setting can be configured from the Reticle Prism Cooling menu in Machine
Configuration (see Figure 298, in “Machine Configuration Settings” on page 450).
„ IR Sensor (TS1)
This value is the expected temperature of the reticle surface in degrees Centigrade.
In Open Loop mode, it is used for both data collection and interdiction. The temperature
does not affect any of the cooling operations.
In Closed Loop mode, it describes the set point temperature that is desired for a given
process. The cooling and ambient solenoids are controlled in a fashion to best maintain
the reticle at the specified set point temperature, as illustrated in Figure 296 on
page 449.
The IR sensor value is primarily specified in the Process Program because the expected
temperature will vary with different process conditions. The setting can be configured
from the Process Program Data\Field Specific Data\Temperature Sensor 1
menu (see Figure 304 on page 456).
If the value is not specified in the Process Program, the Machine Variable value will be
applied. The Machine Variable can be configured from the Reticle Prism Cooling menu
(see Figure 298 on page 450).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 452
Subsystems Reticle/Prism Cooling System (Optional)

Reticle/Prism Temperature Variables


The following describes the relevance of set point and warning and error limit values and
how they are used by the interdiction scheme.
„ Reticle/Prism Set Point
The ideal temperature. For the IR sensor, this setting is the start-up (default) value.
„ Upper Warning Limit
The number of degrees above the set point (how hot) that are acceptable before a
warning alarm is triggered. For example, if the set point is 10 degrees and you enter an
Upper Warning Limit of 15 degrees, then the warning temperature is 25 degrees. For the
IR sensor, this setting is the start-up (default) value.
„ Upper Error Limit
The number of degrees above the set point (how hot) that will trigger an error alarm
when reached. For example, if the set point is 10 degrees and you enter an Upper Error
Limit of 20 degrees, then the maximum allowable hot temperature is 30 degrees. An
error alarm ends the processing of the current job. For the IR sensor, this setting is
the start-up (default) value.
„ Lower Warning Limit
The number of degrees below the set point (how cold) that are acceptable before a
warning alarm is triggered. For example, if the set point is 10 degrees and you enter an
Lower Warning Limit of 8 degrees, then the warning temperature is 2 degrees. For the
IR sensor, this setting is the start-up (default) value.
„ Lower Error Limit
The number of degrees below the set point (how cold) that will trigger an error alarm
when reached. For example, if the set point is 10 degrees and you enter an Lower Error
Limit of 20 degrees, then the warning temperature is -10 degrees. An error alarm ends
the processing of the current job. For the IR sensor, this setting is the start-up (default)
value.

Enabling/Disabling Sensor Interdiction Messages


You can choose whether to enable interdiction for specific sensors. To do this, select the
appropriate sensor (Reticle Mixed, Reticle Cool, Prism Mixed, Prism Cool, or IR
Sensor) from the Machine Configuration | Reticle Prism Cooling drop-down menu.
Then select either Disabled or Enabled from the selected sensor Mixed Configuration
drop-down menu. For example, see the menu for the Reticle Mixed (TC1) sensor in
Figure 300:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 453
Subsystems Reticle/Prism Cooling System (Optional)

Figure 300: Enabling/Disabling Interdiction Messages

Temperature Data Collection


In either Open Loop or Closed Loop mode, you can collect temperature data while testing,
which enables you to generate logs of the subsystem status during wafer processing. The
data is collected and displayed on the Print Monitor page.
The data collected shows the temperature for any given wafer, step, and all sensors at any
point in time during wafer processing, as well as the energy output of the Peltier. In addition,
the data shows whether the solenoids and water valves were open or closed.
See Figure 301 for how the data is presented in the Print Monitor. Also see Table 19, which
displays the first three rows of the same data with the respective headings for purposes of
explanation in this document.

Figure 301: Collected Data

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 454
Subsystems Reticle/Prism Cooling System (Optional)

Table 19: Data Collection

IR Reticle Prism
Wafer Step Field Reticle Prism Water Water
Time Sensor Mixed Mixed Energy
(No.) (No.) (No.) Cool Cool Supply Return
(degrees) (degrees) (degrees)

15:39: 5 1 1 26.84 10.37 13.64 Closed Open Open Open 199.00


47.757

15:39: 5 2 1 26.95 10.37 13.64 Closed Open Open Open 199.00


48.438

15:39: 5 3 1 26.56 10.37 13.64 Closed Open Open Open 199.00


49.099

Enabling/Disabling Data Collection


The following steps describe how to enable or disable data collection.
1. Go to the Variables page, then expand Machine Configuration in the drop-down menu:

Figure 302: Machine Configuration

2. Scroll down to and click on the name Reticle Prism Cooling in the submenu.
3. Select Enabled or Disable, as desired, from the Enable Data Collection drop-down menu:

Figure 303: Enabling/Disabling Data Collection

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 455
Subsystems Reticle/Prism Cooling System (Optional)

Process Program Settings


The settings in the Process Program are based on the control mode, Open Loop or Closed Loop,
and are explained in “Open Loop Mode” on page 447 and “Closed Loop Mode” on page 448. If
the settings in the Process Program are different than the settings in Machine Configurations,
the Process Program settings override the Machine Configuration settings, which are considered
as default settings.

Field‐Specific Temperature Settings


In either mode, you can set the temperature values for each field on the reticle, which is
monitored by the IR sensor. Do this by going to the Variables page and doing the following:
1. Expand the Process Program Data menu.
2. Expand the Field n Specific Data menu
3. Select Field n Temperature Sensor n:

Figure 304: Field-Specific Data Menu

The page for the selected field appears.


4. Enter the desired set point and limit values, which will override the machine variables set as
the default values.

Figure 305: Field-Specific Settings

„ Upper Warning Limit


The number of degrees above the set point (how hot) that are acceptable before a
warning alarm is triggered.
„ Upper Error Limit

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 456
Subsystems Reticle/Prism Cooling System (Optional)

The number of degrees above the set point (how hot) that will trigger an error alarm
when reached.
„ Lower Warning Limit
The number of degrees below the set point (how cold) that are acceptable before a
warning alarm is triggered.
„ Lower Error Limit
The number of degrees below the set point (how cold) that will trigger an error alarm
when reached.
„ Set Point
The ideal temperature. When used in Open Loop mode, this value is only used for
interdiction; it does not affect the cooling control function.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 457
Subsystems Projection Optics (Lens Assembly)

Projection Optics (Lens Assembly)


Located in the middle of the stepper, running from front to back, suspended from the bridge.
The components of the lens assembly are diagrammed in Figure 306.

Figure 306: Projection Optics

Primary Mirror

Meniscus Lens

Plano Convex

Reticle

Wafer

Field Areas “Wynne Dyson Lens”


Upper Prism

Lower Prism
Field Areas

Theory of Operation
Using a modified Wynne-Dyson design, the projection optics assembly is responsible for
transferring the reticle’s pattern to the wafer, at 1-to-1 magnification, with as little aberration as
is possible.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 458
Subsystems Projection Optics (Lens Assembly)

Component Description

Reticle
The first element encountered by light coming from the illuminator is the reticle. It is a glass or
quartz substrate bearing the image of an integrated circuit, or of a cluster of circuits.
Our steppers use two basic types of 1X reticles:
z Test reticles - used for machine testing and setup.
z Product reticles - used to create product.
The etched chrome surface of the reticle is positioned at a conjugate plane established by light
focused from the output of the light pipe within the Illuminator.

Input Prism
A prism is a transparent optical element having at least two polished plane faces inclined relative
to each other, from which light is reflected or through which light is refracted.
Our stepper’s input prism reflects light passing through clear areas of the reticle to the primary
mirror.
A prism vertically flips an image as it is reflected inside of the prism. In our stepper, the image
of the reticle, as projected onto the primary mirror, has been vertically flipped.

Plano‐convex Lens & Meniscus Lens


Plano-convex Lens - a lens with one plane surface and one convex surface.
Meniscus Lens - a lens with one concave surface and one convex surface.
In our stepper this lens pair (doublet) is used to correct for field aberrations of the primary
mirror allowing high resolution imaging to occur over large fields. Chromatic (color),
astigmatism and spherical aberrations are all minimized by this lens pair.

Primary Mirror
The primary mirror reflects light between the input and output prisms. It has a small aperture
at its center which allows an image of the reticle to be projected to the baseline tool. Just as
when you shine a light through a small hole, the light from each of the openings on the reticle
spreads out onto the surface of the primary mirror. Since there is a small hole in the center of
the primary mirror, some of the light from each opening on the reticle escapes, forming the
bright field image at the baseline tool. Since no light is reflected from this small hole, the center
of each cone of light reflected from the primary mirror is dark. Up to the point of reflection from
the primary mirror, the cones of light were spreading out, or diverging. Due to the spherical
shape of the primary mirror, the cones of light reflected off of it begin to converge. Upon arrival
at the wafer surface, the image is fully converged, but each cone has a dark core. If light is
scattered by the wafer topography, some of this scattered light will go up into the darkfield cone,
causing the dark field image at the baseline tool.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 459
Subsystems Projection Optics (Lens Assembly)

Relay Lens
Images bright field and dark field cones of light into the baseline tool assembly.

Output Prism
The output prism reflects light from the primary mirror to the wafer.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 460
Subsystems X, Y and Theta Stages

X, Y and Theta Stages


The X, Y and ZTT theta stages are located at the top of the granite block, in the center of the
stepper.

Figure 307: XY Stage Components

Square

Granite

Carriage

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 461
Subsystems X, Y and Theta Stages

Coordinate
The 0,0 for the XY stage is at the right back corner of the granite table. When all the way to
the front and left side, the stage coordinate is around (490, 330) mm for X, and Y positions.
With the wafer in focus under the air probes, this coordinate is around (340, 170) mm for X,
and Y positions.

(490,0) (0,0)

(340,170)
at focus

(490,330) (330,0)

Description
The XY theta stage system allows for precise wafer to reticle alignments. The wafer carrier, or
chuck, moves in X (side to side), Y (front to back), Z (up and down), and Theta (CW/CCW
rotation). The X stage is also used to move and position the reticle.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 462
Subsystems X, Y and Theta Stages

Stage Components
The stage consists of three main components. The largest assembly is the stage square, an L-
shaped assembly made of silicon-carbide that moves in the X-direction; the second piece is the
carriage, moving in the Y-direction. Figure 307 is an exploded view of the major components.
Both stages move on vacuum-loaded air bearings, virtually eliminating friction (see Figure 308).
The ZTT Theta stage is mounted to the top of the carriage.

Figure 308: Stage Air Bearings (Bottom View)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 463
Subsystems X, Y and Theta Stages

Drive Motors
Three-phase brushless linear motors are used to drive the stage in X and Y. The X stage (square)
is driven by two linear drive motors, one mounted vertically at the front edge of the granite, and
one mounted horizontally on top of the granite, behind the stage. The Y stage (carriage) is
driven by a single three-phase motor, mounted inside the carriage. Refer to Figure 309.

Figure 309: Drive Motor Components

X2 Magnets X2 Coils (not visible)

Y Magnets Y Coils
(not visible)

X1 Coils
X1 Magnets

Position Encoders
Each stage uses a Heidenhain linear optical scale and read head combination. The scale pitch
on the X scale is 4 µm, interpolated to 4 nm and the scale pitch in Y is 2 µm, interpolated to 2
nm.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 464
Subsystems X, Y and Theta Stages

ZTT Theta Stage


The ZTT (Z tip and tilt) theta stage is mounted on the top of the carriage. Three voice coil
motors, with attached MicroE linear scale encoders, provide independent three-point movement
in the Z-axis. A single-phase linear drive motor, utilizing a Renishaw position encoder, is used to
adjust coarse wafer theta. Fine theta movements are provided by three piezo-electric
manipulators. Wafer lifter pins, for use during wafer loading and unloading, are integrated into
the assembly. Major components of the ZTT stage are shown in Figure 310.

Figure 310: ZTT Components

Lift Pin Push Rod Chuck Mounting Pad


Theta Air Bearing Theta Flexure (1 of 3)
(1 of 3)
Theta Encoder

Z Flexure

Z Encoder (1 of 3)

Coarse Theta Motor Z Motor (1 of 3)

Wafer Thickness Compensation


Unlike previous Ultratech steppers, no additional assembly is needed for wafer thickness
compensation. The ZTT stage has a 4 mm range of travel, and this is used to compensate for
different thicknesses of wafers.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 465
Subsystems Focus Control System

Focus Control System


The focus control system involves several parts of the stepper. The air probes are mounted to
the lower (output) prism. The air gauge is mounted on the illuminator frame, just to the right
of the GHI indicator. The air gauge amplifier is also mounted on the illuminator frame, on the
right side of the stepper. The Z-stage actuators (voice coils) and Z-stage position sensors
(encoders) are on the XY stage. The focus control electronics are within the stage controller.

Figure 311: Focus Control System

Air Gauge
Detection
System
Measurement

Voice Coil Focus Stepper


Positioning Control Control
System System System
Action Set-point

Theory of Operation
The primary goal of the focus system is to achieve coincidence between two planes, the aerial
image plane and the wafer plane. Wafer proximity to the air probes is sensed by applying a
controlled flow of air through the probes. The proximity of the wafer to the probes results in a
restriction of the air flow, causing back pressure. This back pressure, represented by a voltage,
is measured and compared to a stored back pressure, measured during the last focus
calibration. Focus calibration is performed routinely to ensure that the system places the wafer
at the same location that the reticle is re-imaged, known as the aerial image. Sensing occurs at
three points, which determine a plane. Wafer proximity to the air probes is controlled by the Z-
stage actuators on the XY stage.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 466
Subsystems Focus Control System

The stepper voice coil focus system is a basic control system. As with any control system, inputs
are compared by a controller which provides an output (action) designed to effect the measured
input value.

Figure 312: Basic Control Loop

Measurement

Action Controller

Setpoint

A simplified block diagram of our stepper focus system appears in Figure 311. Air probes and
an air gauge with its three circuit boards provide our measurement input. The controller
compares inputs and provides an output to the voice coil actuators located on the stage. These
components relate to each other in such a way that they form a control loop, which we will refer
to as the outer loop. There is also an inner loop which we’ll investigate later.
As we learned before, the primary goal of our focus system is to achieve coincidence between
two planes. Because it takes three points to define a plane, components tend to appear in
groups of three. You’ll find three air probes, three sensors, three voice coils, three encoders,
and three of most of the electronic circuits.

Outer Loop
A simplified block diagram of our stepper focus system appears in Figure 312. Air probe
backpressures, processed by the air gauge amplifier, provide our measurement input. The
setpoint is provided by the system controller. The controller compares the measurement to the
setpoint and provides an output to the voice coils on the XY stage. These components relate to
each other in such a way that they form a control loop, which we will refer to as the outer loop.
The outer loop controls wafer position when the focus system is active, such as when processing
a wafer.

Inner Loop
Sometimes the chuck height needs to be controlled when the chuck is not under the air probes,
or needs to be maintained while the air gauge is inactive. In these cases, the Z-position
encoders on the XY stage provide the measurement input. The setpoint is still provided by the
system controller, and the actuator is the controller, driving the voice coils.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 467
Subsystems Focus Control System

Component Description

Air Probes

Figure 313: Air Probe Configuration

Back Geometric
Air Probe Center

120
Degrees

Left Right
Air Probe Air Probe

Looking down through the prisms, the three air probes form a triangle centered about the
geometric center of the output prism in a configuration similar to what is shown in Figure 313.
The three air probes are machined from a block of stainless steel, which is mounted to the lower
prism as shown in Figure 314. Hoses connect the air probe block to the air gauge. A precisely

Figure 314: Air Probe Block

controlled stream of air is blown out through an orifice in each probe onto the wafer surface.
As the wafer moves closer to an air probe, the pressure in that probe’s pneumatic system
changes. A relationship exists between the distance of a wafer from an air probe and the
pressure in that probe’s pneumatic system. A closer wafer yields a higher back pressure; a more
distant wafer yields a lower back pressure.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 468
Subsystems Focus Control System

NOTE . . .
REMEMBER THAT THE AIR PROBE MEASUREMENT SYSTEM USES THREE OF EVERYTHING SINCE IT TAKES THREE
POINTS TO DEFINE OR DESCRIBE A PLANE.

Pressure in an air probe’s pneumatic system which results from the proximity of a wafer to that
air probe is referred to as back pressure. Since the back pressure in an air probe’s pneumatic
system is directly related to a wafer’s distance from the probe, we can measure the back
pressure to measure that distance. Therefore, an air probe is simply part of a distance
measuring device.
In our focus system, three independent probes, each with a pneumatic system of its own,
measure three different distances. With these three probes, without ever touching the wafer,
the machine can:
z Sense the existence of a wafer plane under the output prism
z Determine how far away from the output prism that plane exists
z Discover whether that plane is tilted in relation to the prism

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 469
Subsystems Focus Control System

The Air Gauge Assembly


The main function of the air gauge is to translate back pressures, generated by the wafer’s
proximity to the air probes, into volts. A photo of the air gauge sensor assembly can be seen in
Figure 315.

Figure 315: Air Gauge Sensor Assembly

Air Gauge Pneumatic Components


The pneumatic portion of the air gauge has two responsibilities.
z The air gauge pneumatics supply clean air at a regulated pressure to all three air probe
pneumatic systems. Supply components include a 4 to 20 mA current to pressure regulator
(I/P transducer), a solenoid, a filter and the electronics responsible for controlling the
regulator.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 470
Subsystems Focus Control System

z The air gauge pneumatics sense the difference between a reference pressure and the
pressure in each air probe’s pneumatic system. The differential pressure sensing
components convert those differences to proportional analog voltage.

Figure 316: Supply Components

Supply Sensing
Components Components

I/P
Transducer
Error
Supply Amp
Air

Solenoid
5 psi
0.01µm
Filter
Supply
Manifold

Air Probes

Supply Components
z Supply Air: comes to the air gauge from the pneumatic panel at 80 psi. The supply air is
either clean, dry air (CDA) or nitrogen. (This document uses the word air to refer to any
supply gas.)
z I/P Transducer: “I” means electrical current, “P” means pressure, and “transduce” means
to convert. This component converts changes in current to changes in pressure. More to the
point, it regulates the 5 psi pressure supplied to the air gauge by using current to open and/
or close an internal orifice.
z Solenoid: Permits on/off control of the supply air to the entire air gauge focus system.
z Filter: Located within the air gauge assembly, it filters out particles 0.01 microns or larger.
The pneumatic panel has a 0.2 micron filter for facility air to the system. This air gauge filter
insures very clean air will be directed toward the wafer surface through the air probes.
z Supply Manifold: A common source for filtered air, maintained at a constant 5 psi. The
supply manifold is not really a component, it is just a volume of air inside the interconnection
tubing. It supplies a pressurized air source to all three air probes and to the reference
manifold.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 471
Subsystems Focus Control System

z Pressure Sensor and Error Amp: Senses air pressure and controls the I/P transducer to
maintain the supply pressure at 5 psi.

Figure 317: Pressure Sensing Components

Supply Sensing
Components Components

Reference Gap

Differential
Pressure
Transducers

Flow
Valves

Air Probes

Sensing Components
z Reference Valve: (top valve in Figure 316) controls the flow to the reference gap, set to
provide a back-pressure (reference pressure) of about 2.1 psi as measured at the fitting.
z Flow Valves: (left, back, right and reference) control the flow to the corresponding air
probe pneumatic system.
z Reference Gap: Identical to an air probe except that the surface representing a wafer
cannot be moved. This fixed gap provides a back pressure standard which changes only in
response to changes in atmospheric pressure. Any change in atmospheric pressure is felt
equally on both sides of all three differential pressure transducers.
z Differential Pressure Transducers (Sensors) Each sensor responds to the difference
between the reference pressure and the pressure in an individual air probe pneumatic
system.
If we could see inside a differential pressure transducer, we would find that each sensor contains
a diaphragm stretched across the middle of the package. Pressure applied to either side of the
diaphragm produces a corresponding variation in the sensor’s internal resistance and electrical
output.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 472
Subsystems Focus Control System

Sensor Function
We can visualize how each sensor works by using exaggerated diaphragm travel to represent
the sensor’s output, as shown in Figure 318:

Figure 318: Differential Pressure Sensor

Greater Pressure Above Equal Pressure Greater Pressure Below

Air Gauge Electronics


The two circuit boards of the air gauge and their relationship is illustrated in Figure 319.

Figure 319: Air Gauge Electronics

Sensor Bd. Amplifier Bd.

Left
Back To Newport
Right Controller

S1
Current Controlled
Regulator (I/P)
Ctrl
From Newport
Air Gauge Solenoid Controller

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 473
Subsystems Focus Control System

Air Gauge Sensor Board


Comprised of three differential pressure transducers, the electrical output of this board changes
as the probe pneumatic pressure changes. Each transducer may be represented as a bridge
network of resistors, as shown in Figure 320. A pressure differential between the reference
pressure and the measured probe pressure will alter the bridge resistance.

Figure 320: Differential Pressure Sensor Schematic

Output

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 474
Subsystems Focus Control System

Air Gauge Amplifier Board

Figure 321: Air Gauge Amplifier

The air gauge amplifier board is the principal component within the air gauge amplifier, which
is shown in Figure 321.
z Amplifies the analog signals from the left, back and right differential pressure transducers.
z Drives the air gauge solenoid.
z Controls the air gauge pressure electronic regulator.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 475
Subsystems Focus Control System

Stage Controller
z Receives left, back & right probe voltages from the air gauge amplifier board.
z Receives desired Z-stage position information from the system controller.
z Receives actual Z-stage position information from the encoders.
z Calculates any needed movement of the Z-stage voice coils.
z Independently drives the three Z-stage voice coils to the calculated destination.
z Communicates with the system controller via an ethernet interface.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 476
Subsystems Wafer Edge Sensor

Wafer Edge Sensor

Purpose
The air probe edge sensor utilizes only the right air probe, located at the right front edge of the
output prism, to determine the placement of the wafer, in X, Y and Theta, relative to the right
air probe and the wafer chuck.

Theory of Operation
The air probe edge sensor, seen in Figure 322, senses the placement of the wafer on the chuck,
using the right air probe. During edge sense, the right air probe’s differential output is monitored
by the system controller. When the wafer isn’t under the probe, the back pressure is minimal.
As soon as the wafer’s edge restricts the air flow out of the probe, backpressure is created. The
wafer is first positioned to a stored location in X, Y and Z. Then the XY stage slowly moves the
wafer forward until the right front edge of the major flat is sensed. The wafer is moved a small
distance in X, then the left front edge of the major flat is sensed. The difference between the
two sensed locations in Y indicates theta rotation of the wafer. The theta rotation, if any, will be
corrected with the theta motors. The average location in Y is used to calculate the position of
the center of the wafer in Y. Then the wafer is moved to position the center of the wafer, in Y,
in line with the air probe sensor. Now the X stage slowly moves the wafer until the right edge
is sensed. A calculation is made to determine the position of the center of the wafer in X.
The position of the center of the wafer is now known. The system can now correctly position
the center of the wafer under the optics. This allows the stepper to print the reticle images
correctly with minimum array offset on a first layer.
Taking the center of the chuck as a reference, the system calculates the X and Y offsets between
center of the chuck and wafer center. These offsets can be minimized by updating machine
variables, using the “Mechanical align” diagnostic test.

Figure 322: Air Probe Edge Sensor

Air Probe

Wafer

Sensing Front Edge

Sensing Right Edge

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 477
Subsystems Reticle Stage

Reticle Stage
Located at the front of the stepper, attached to the projection optics, and extending out to the
left.

Description
Used to position and hold the reticle at the correct height above the input prism of the projection
optics (lens assembly). Allows movement of the reticle in X and Y directions.

Theory of Operation
For the reticle image to be properly transferred to the wafer, the reticle must be positioned
properly over the prism in X, Y, theta and Z. Through the reticle finger, the X stage is able to
move the reticle in X, by moving the slider in X. Also through the reticle finger, the X stage is
able to move the reticle in Y and theta, by moving the diddlers in X. Their wedge shape
translates the X movement of the finger into Y movements of the reticle. There is one diddler
at each end of the reticle, allowing Y and skew (rotational) adjustments of the reticle.
There are three main parts of the reticle stage; the transfer plate, upon which the reticle is
initially placed and removed from; the platen, upon which the reticle rests, setting the Z
placement of the reticle; the slider, which allows the reticle to be positioned in X, Y and theta
on the platen, sliding along a part of the transfer plate. One other integral part of the reticle
stage is the finger, which is attached to the X stage and moves the slider.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 478
Subsystems Reticle Stage

Component Description

Reticle Stage
Formed from machined stainless steel, the reticle platen (Figure 323) is mounted to the
projection optics with kinematic mounts. The height and tilt of the reticle platen, relative to the
input prism, is critical. This sets the height and tilt of the aerial image, under the air probes.

Figure 323: Reticle Stage Transfer Plate and Platen

When the reticle is being positioned, air is supplied to orifices and air/vacuum ports on the
platen, floating the reticle. When the reticle has been positioned, air is turned off to the orifices,
and vacuum is applied to the air/vacuum ports, holding the reticle in place.

Reticle Slider
Reticle slider is used as a support reticle and it is moved by reticle finger to position it. Two types
of reticle sliders are available; one for 5X5 reticle size and the other one for 6X6 reticle.
The reticle slider also has air applied to it, to separate it from its guide bar, when the reticle is
being moved. The air is switched off and vacuum is applied as soon as the reticle is in place.
The entire slider is moved, via the finger, to position the reticle in the X direction.
There are two diddlers, left and right, detailed in Figure 324. They are wedges, that when
moved in an X direction by the finger, cause that particular side of the reticle to move in Y. They
are used during reticle alignment to position the reticle in Y and to remove reticle skew. They
are plumbed to both air and vacuum. Each diddler has a striker plate so the reticle finger can
be used to position them. They are secured to the reticle stage by magnets but when diddler

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 479
Subsystems Reticle Stage

air is on they are held away from the slide a few microns making it possible to move them
without damaging the slide. After they are positioned they are switched to vacuum to prevent
any movement.

Figure 324: Reticle Slider

Reticle Clamp

Reticle Support Push Rods

Diddler

Reticle Finger
The reticle finger, shown in Figure 325, is mounted on the X stage which allows the accuracy of
the XY stage to be utilized to move the reticle and diddlers to any required position. Air pressure
is used to raise the finger and hold it in one of four striker plates. Two of the strikers are used
for moving the reticle and two are used to adjust the diddlers. Vacuum is applied to the reticle
finger to keep it safely out of the way when moving the XY stage for all other stepper operations.

Figure 325: Reticle Finger

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 480
Subsystems Machine Vision System (MVS)

Machine Vision System (MVS)


Located above the reticle stage, attached to the projection optics housing.

Purpose
To align the wafer to the reticle.

Theory of Operation
The MVS is a pattern recognition alignment system using video cameras. The pattern
recognition allows the system to “memorize” the image characteristics of selected wafer and
reticle patterns. The MVS system looks through MVS windows on the reticle, which contain keys
but are otherwise clear, at the wafer. During wafer alignment, MVS identifies and reports the
location of the reticle keys and wafer patterns. The XY stage then moves the wafer pattern to
a position relative to the reticle key as described by the process program data. Component
structures within the product field are typically used as targets.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 481
Subsystems Machine Vision System (MVS)

MVS Components

Hardware Components

Bridge Assembly
Figure 326 is a view straight-on toward the front of the MVS bridge assembly at the plane of
the reticle stage. Due to the forward tilt of the reticle stage as seen when standing facing the
stepper, the bridge is normally viewed from slightly above this angle. However, from this view
the following major components can be identified.

Figure 326: Bridge Assembly

Bridge Assembly . . . Includes the stepping motors and mechanical components necessary to
move the two optic boxes in X.

Optic Boxes . . . Direct the images to the cameras.

Camera Motors . . . Motors 8 and 9 control the separation of the camera objectives. This
separation is known as key distance, and is set by process program data.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 482
Subsystems Machine Vision System (MVS)

NOTE . . .
ALIGNMENT OF THE MVS BRIDGE REQUIRES SPECIAL SKILLS AND DEDICATED ALIGNMENT TOOLS. THIS
PROCEDURE SHOULD BE LEFT TO TRAINED ULTRATECH FIELD SERVICE ENGINEERS.

Optic Components

Optic Boxes
There are two optic boxes, left and right. These provide the proper optical spacing between the
objectives and the cameras. As seen from the front of the stepper, the left optic box is to the
right, and the right optic box is to the left. This reversed nomenclature is intended to match the
view of the optics, when looking at wafer features. A detail of an optic box is seen in Figure 327.

Figure 327: Optic Box

Left Optic Box

Right Optic Box

Flexures

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 483
Subsystems Machine Vision System (MVS)

Prism
Shown in Figure 328 is the prism, also known as a flipper prism. Its job is to fold the light coming
and going to the MVS objectives, at 90°, to match the angle of the reticle plane to the angle of
the objective plane.

Figure 328: Flipper Prism

Flag Sensor

Stepper Motor

Flipper Prism

Flag

Illuminator Input
MVS illuminators mounted at the top of the stepper’s electronic rack provide light for the
cameras. This light is routed to the optic box via fiber optic cable. At the optic box the light is
filtered by a filter. After the filter has eliminated unwanted frequencies, the selected light
frequency is directed out of the optic box toward the prism by the beam splitter. The prism
bends the light down toward the surface of the reticle and eventually, after passing through the
stepper lens, to the surface of the wafer.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 484
Subsystems Machine Vision System (MVS)

During wafer alignment with the MVS, the filtered light from the MVS illuminators exit the optic
boxes and the prism directs the light through the stepper lens to the wafer surface. The MVS
illumination simply allows the camera to “see” whatever exists on the wafer surface.

Figure 329: Illuminator Input Path

Flat Mirror
Aperture Spacer
Acromat Lens
Mirror Mount Spacer

Beam Splitter
Lig
ht
Be
am
Spacer
Bandpass Filter
Filter
Acromat Lens
Ring Doublet
Micro Objective

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 485
Subsystems Machine Vision System (MVS)

Video Component
The MVS camera is responsible for translating light patterns into video. Below is a drawing of
the camera and where it’s mounted on the MVS bridge. See Figure 330.

Figure 330: MVS Camera And Optics Box

Clamp
Optics Box
MVS Camera

Pneumatic Components
The position of the micro-objective (input lens) will control the focus of the images arriving at
the input to the video camera. Commands sent from the PC Computer control the E/P (Voltage
to Pressure) regulators in the stepper pneumatic panel.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 486
Subsystems Machine Vision System (MVS)

As an example, an increase in pressure from the regulator will expand the diaphragm (focus
actuator) and bend the leaf spring away from the optic box. The micro-objective is fixed to the
leaf spring by a clamp. A detailed view of the diaphragms and mounts is shown in Figure 331.

Figure 331: Pneumatic Focus Actuator

Pneumatic
Hose Barb

Leaf Spring

Focus Midpoint
Adjust
(set at factory)
Optic Box

Image
Alignment Diaphragm
(set at factory)

Micro-Objective

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 487
Subsystems Machine Vision System (MVS)

Electronic Components
The MVS controller is mounted in the electronics rack, as shown in Figure 332. The cameras
connect directly to the video processor board, inside the system controller. The MVS illuminators
are mounted on top of the electronics rack.

Figure 332: MVS Dual Illuminator Controller

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 488
Subsystems Machine Vision System (MVS)

z A block diagram of the MVS control system is shown in Figure 333.

Figure 333: MVS Control System Block Diagram

Stepper PC MVS Bridge

Cognex 8120 Camera Driver


Vision Board
CCD Camera

Right Camera

Left Camera

Galil I/O
Controller Flipper Prism

Objective Lens

MVS
Controller
Stepper Motor
Driver Boards

Flipper Prism
Control Board

Pneumatic
Transducers

Dual Illumination
Power Supply Light Source

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 489
Subsystems Machine Vision System (MVS)

z A block diagram of the video system is shown in Figure 334.

Figure 334: Video Block Diagram

System Controller PCI Bus

Cognex Video Processor Board - Model 8120


Display Driver
CPU Card
Camera 1 Camera 2

Left Right
Camera Camera MVS
Monitor
Power Power

MVS Illuminators
MVS illuminators are mounted at the rear of the machine, on top of the electronic rack.
Figure 335 shows one of the MVS Illuminators. A halogen lamp is used as the light source. Light
intensity is controlled by MVS dual power supplies located in the electronics rack, as shown in
Figure 332. The MVS power supplies are controlled by the system controller. Light is transferred
to the MVS’s optics box via a fiber-optics cable. Within the optics box, the light is filtered to
provide maximum contrast and minimum resist exposure.

Figure 335: MVS Illuminator

Access Cover
Open/Close

Side Screw
Rotation (2 ea.)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 490
Subsystems Wafer Edge Protection

Wafer Edge Protection


Wafer Edge Protection is a mechanical system used for protecting the outer edge of a wafer
from being exposed during a wafer exposure. A ring is placed over the wafer to shield the edge
of the wafer, preventing exposure. The ring is designed to protect approximately 1 to 6 mm in
from the edge of the wafer. This technique is available for 150 mm (6-inch), 200 mm (8-inch),
and 300 mm (12-inch) systems.

Application
Wafer Edge Protection is used on negative resist to prevent exposing the edge of the wafer. By
preventing exposure to the negative resist, the resist will be washed away during develop, giving
access to the wafer edge. This is done, typically, for the bump process to create electrical
contact on the edge of the wafer so the bumps (contacts) can be electroplated where the pads
are exposed.

Figure 336: Wafer Edge Protection System

Lifter Motor

Gripper Lifter Arms

Protection Ring
Sensor Indicators
Ring ID Sensors

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 491
Subsystems Wafer Edge Protection

Universal Chuck
The universal chuck supports three different wafer sizes: 150 mm, 200 mm, and 300 mm. It
also supports WEP rings. Mounted to opposite sides of the chuck are ring clamps (see
Figure 337 item “B”). The ring clamps support the WEP ring when placed on top of the chuck.

Figure 337: Universal Chuck

(A) Universal Chuck (B) Ring Clamp (Close-Up)

Ring Clamp
Pneumatic Input
Detects Ring
Detects Ring Presence Ball-Bearing Presence

Located around the chuck are ring presence sensors. Two sensors are located on the ring
clamps, the other one is set apart, as shown in item “A” in Figure 337 above. Upon loading the
WEP ring onto the chuck, all three sensors must be triggered to indicate a successful ring-to-
chuck transfer. Same idea exist for unloading the WEP ring, all three sensors must be triggered
to indicate a successful ring-to-lifter transfer.

NOTE . . .
WARPED WAFERS. A WARPED WAFER VACUUMED DOWN ON THE XY STAGE CHUCK IS EXPECTED TO BE FLAT.
THE DIFFICULTY WITH WARPED WAFER HANDLING IS NOT BEING ABLE TO PULL VACUUM WHEN THE EDGES (OR
CENTER) OF THE WAFER DO NOT REST ON THE CHUCK. WARPED WAFERS ALSO REQUIRE SPECIALLY DESIGNED
ROBOT END-EFFECTORS TO TRANSFER THEM ASSUMING THAT THE WAFER WARP IS BELOW THE DESIGN LIMIT.
IT IS THE RESPONSIBILITY OF THE USER TO USE WAFERS WITH WARP BELOW THE AGREED DESIGN LIMIT TO
ENSURE PROPER HANDLING AND DAMAGE PREVENTION TO SUB-SYSTEMS.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 492
Subsystems Wafer Edge Protection

Non‐Contact Wafer Edge Protection For Fixed Wafer


Thickness
The Non-Contact WEP is equipped with specially designed universal chuck. The purpose of the
using Non-contact WEP is to prevent the WEP ring from touching the wafer surface. To
accomplish this, the chuck is equipped with pins around the chuck to support the ring and
prevent contact with wafer surface. A minimum clearance of 50 microns is provided. A typical
example of a chuck design for WEP is shown below.

Figure 338: Non-Contact WEP

.004 [111.50] .002 [50.80]


Photoresist Non-Touch
Exclusion Ring Gap

.038 [976.40]
Wafer
Overall Distance
.031 [800.00] Min.
.032 [814.10] Max.
3 Eccentric Pins

Wafer Chuck

WARNING
WHEN USING NON-CONTACT WEP, IT IS VERY IMPORTANT TO
CONTROL WAFER THICKNESS AND RESIST THICKNESS. THE RESIST
THICKNESS HAS A MORE IMPORTANT ROLE. IF THE RESIST THICKNESS
FALLS BELOW DESIGN PARAMETER, THERE WILL BE A POSSIBILITY OF
WEP RING HITTING THE FOCUS AIR PROBE BLOCK. ANOTHER
PARAMETER IS THE PRODUCT FOCUS OFFSET. USING A HIGH VALUE FOR
FOCUS OFFSET MAY RESULT IN WEP RING HITTING THE FOCUS AIR
PROBE BLOCK. ALTHOUGH THE STEPPER SOFTWARE MAY NOT ALLOW
THE USER TO USE HIGH FOCUS OFFSET NUMBER, IT IS THE
RESPONSIBILITY OF THE USER TO BE AWARE OF THESE LIMITATIONS
AND ENSURE THE WAFER PARAMETERS ARE WELL CONTROLLED.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 493
Subsystems Prism Protection Assembly

Prism Protection Assembly


The purpose of the Prism protection assembly is to prevent resist build-up on the lower prism
due to outgassing. Figure 339 shows details of the assembly. To protect the lower prism from
outgassing build-up, a special prism protection pellicle is inserted inside the lower part of the
air probe block using a pellicle slider and pellicle chute. The lower portion of the lens is also
equipped with additional three shields to protect the lens from outgassing. See Figure 340. The
shields are made of Electroless Ni plated Aluminium and are bonded to the lower side of the
lens nose piece.

Figure 339: Prism Protection Assembly

Probe Block

Bracket Attached
to Left of Lens Assy

Pellicle Chute

Pellicle Frame

Pellicle Delivery Assembly


P/N: 01-15-07430
Pe
llic
le

Pellicle Slider

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 494
Subsystems Prism Protection Assembly

Figure 340: Lower Prism and Additional Protective Shields

Probe Block Cover

Doublet Covers

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 495
Subsystems XY Stage Artifact and Frosty OAT Description

XY Stage Artifact and Frosty OAT Description

NOTE . . .
IN THIS SECTION THE HARDWARE FOR THE FROSTY OAT WILL BE DESCRIBED. FOR INFORMATION ON THE
FROSTY OAT SETUP POSITION USING THE SOFTWARE, REFER TO “Frosty OAT Calibration” on page 567.
FOR INFORMATION ON HARDWARE SETUP REFER TO PREVENTIVE MAINTENANCE MANUAL.

The XY stage on AP tools are normally equipped with two stage artifacts. See the following
figure. One of these artifacts is referred to as the fixed artifact with fixed height which is
equipped with unique chrome patterns. Two of the patterns on the this artifact is referred to as
Frosty OAT pattern. The purpose of this section is to describe this feature and its setup.

Figure 341: Location of the Stage Artifact

Stage Artifact

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 496
Subsystems XY Stage Artifact and Frosty OAT Description

Figure 342: Stage Artifact

Monolithic parallel flexure


Adjustment
screw
Reticle
mount
Spherical
washer set

Internal
compression
Locking
spring
set screw

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 497
Subsystems XY Stage Artifact and Frosty OAT Description

Figure 343: Stage Artifact and Left/Right MVS Targets

Right Rotation Target

Left Rotation Target

Left MVS Target Right MVS Target

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 498
3050 Zanker Rd, San Jose, CA 95134

Chapter 6
Utilities

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 499
Utilities

Connections to the Stepper


Electrical power from the chamber is connected to the stepper through a short cord that plugs
in at the top of the chamber. The other end of the cord goes to the AC power control box. AC
power control and distribution is discussed in the “Electronics” chapter of this manual.
Exhaust connections to the stepper are provided through the chamber as well. Exhaust is
provided for the lamp housings and electronics rack.
CDA and house vacuum are connected to the chamber interface panel (nitrogen may be used
for the closed loop cooler option), see Figure 344. The chamber interface panel is located at the
left side of the chamber.

Figure 344: Chamber Interface Panel (Pneumatic Connections in Lower Right Corner)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 500
Utilities System Pressure and Vacuum Regulation

System Pressure and Vacuum Regulation


The source air supply to the stepper need not be pressure regulated. The stepper has two built-
in regulators which are used to control the pressure.

Figure 345: Utility Panel

Referring to Figure 345, the regulator labeled “FACILITY AIR REGULATOR” is used as the first
stage of pressure regulation. This regulator is set to 100 PSI. All of the other regulators on this
panel set critical system pressures and are adjusted using a precision pressure gauge. The panel
gauges are for indication only.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 501
Utilities System Pressure and Vacuum Regulation

Additional stages of regulation are required by some of the stepper’s subassemblies. Five of
these additional regulators are located on the utility panel, and the rest are found on the
pneumatic controller. Located at the right side of the stepper’s main frame, the pneumatic
controller also contains sensors for the air and vacuum levels used by various assemblies. Refer
to Figure 346.

Figure 346: Pneumatic Controller

Internal vacuum generators are used to supply separate isolated vacuum to the Newport stage
and the front-end. The vacuum generators produce vacuum by using air pressure as illustrated
in Figure 347.
As air moves through the vacuum generator it encounters a restriction or narrowing of the
passage. This restriction causes the air velocity to increase, and the pressure in the immediate
area to decrease, due to the Venturi principle or Venturi effect. The surrounding area outside
this restriction is enclosed, encasing the low pressure area. The tube leaving this enclosure
provides vacuum for the subsystems of the stepper.
These vacuum generators produce typical vacuum readings of 20 to 28 in/Hg, and flow rates of
50 to 60 SCFH (unrestricted).

Figure 347: Vacuum Generator

Air Exhaust
Venturi
Low Pressure
High Velocity Area (Vacuum)
Air Area

Air Pressure In

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 502
Utilities System Pressure and Vacuum Regulation

Pneumatic Interlock
An adequate pneumatic pressure supply is critical to the stepper’s function. Assemblies,
including the XY and reticle stages and robot, utilize air bearings to separate one component
from another. If air pressure failed, and the stepper remained in operation, major damage to
the above-mentioned components could result. For this reason, a circuit is incorporated into the
utility panel that will disable the stage and robot drivers if air pressure falls below a minimum
level.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 503
3050 Zanker Rd, San Jose, CA 95134

Chapter 7
Electronics

Dolan Jenner Light Sources

WEP
Controller
AC Power Distribution

Illuminator
Controller Stage Controller

MVS
Controller
CLC Controller
X_Y Stage Driver

Network/Serial Dist
Distribution Controller
Z1,Z2,Z3, Theta
Leift Pin Driver

System Interlock Controller


Controller UPS/Interlock I/O Controller
Assembly

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 504
Electronics Introduction

Introduction

NOTE . . .
THE OBJECTIVE OF THIS CHAPTER IS TO PRESENT INFORMATION ON SYSTEM ELECTRONICS AND
COMMUNICATIONS. THE INFORMATION IS PROVIDED BY EXPLAINING THE RELATIONSHIP BETWEEN THE SUB-
SYSTEMS BY THE USE OF BLOCK DIAGRAMS. SOME MORE DETAILED INFORMATION ON THE ELECTRONICS (AND
PNEUMATICS) ARE PROVIDED AT THE END OF THIS MANUAL “Appendix E”.

The majority of the system’s electronics can be grouped into functional groups of system power,
system controller, XY stage, illuminator, MVS alignment system, Focus System, WEE/WEP, and
wafer handler. Most of the electronics are located in the electronics rack at the rear of the
stepper.

NOTE . . .
ILLUSTRATIONS AND INFORMATION PROVIDED MAY VARY BETWEEN TOOLS, DUE TO CONFIGURATION
DIFFERENCES, OPTIONS INSTALLED, UPGRADES AND ENHANCEMENTS.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 505
Electronics Electronics Rack Components

Electronics Rack Components


Refer to Figure 348 for the layout of the electronics rack.

Figure 348: Electronics Rack Layout

Dolan Jenner Light Sources

WEP
Controller
AC Power Distribution

Illuminator
Controller Stage Controller

MVS
Controller
CLC Controller
X_Y Stage Driver

Network/Serial Dist
Distribution Controller
Z1,Z2,Z3, Theta
Leift Pin Driver

System Interlock Controller


Controller UPS/Interlock I/O Controller
Assembly

System PC Controller
The overall system control is provided by the system’s PC computer, running Ultratech software
under a Microsoft © Windows operating system. Operator interface is provided through a
terminal and monitors located on the front of the environmental chamber.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 506
Electronics Electronics Rack Components

Except for the following stepper sub-systems, system controller communicates with stepper
sub-systems by ethernet interface:
z MVS: Uses Vision Processor MVS-8120 (Patmax) model and the serial device server #1 to
communicate to MVS subsystem.
z Illuminator: Uses GPIB interface to communicate to illuminator power supply.
z UPS: Uses the serial port (COM 1 on motherboard) to communicate to the UPS.
z Frontend controller: Uses the serial device server #2 to communicate to the Frontend robot/
prealigner controller.

NOTE . . .
SERIAL DEVICE SERVER #1 (ALSO REFERRED TO AS MOXA 1) IS AN INTELLIGENT 8-PORT SERIAL INTERFACE
FOR ETHERNET INTERFACE TO CONTROLLER. SERIAL DEVICE SERVER # 2 (ALSO REFERRED TO AS MOXA 2) IS
AN INTELLIGENT 16-PORT SERIAL INTERFACE FOR ETHERNET INTERFACE TO CONTROLLER.

Communication
Figure 349 and Figure 350 show block diagrams of the communication for the PC user interface
and the sub-systems. Ethernet is the primary way of communication between the PC and the
major sub-systems. The communication ports and IP addresses are shown in Table 20 through
Table 22.

NOTE . . .
SERIAL DEVICE SERVER #1 AND #2 ARE ALSO REFERRED TO AS MOXA 1 AND MOXA 2.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 507
Electronics Electronics Rack Components

Figure 349: User Interface Communications

Ethernet out

Network
Switch

Host PC
Controller
Top
Monitor
Analog VGA

Bottom
Monitor
Etherne t

USB
Mouse

Keyboard
Distribution USB
USB
Controller HUB
CDRW

USB Port
External
Ethernet
(IN) Main Operator Console

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 508
Electronics Electronics Rack Components

Figure 350: Sub-Systems Communications

Host PC
Controller

Illuminator
GPIB Serial UPS
Controller

Ethernet
USB

Main
Console

Maintenace 3 Distribution
Ethernet IN
Console USB Controller

Satelite
Ethernet

Console
Serial
MVS
Network Ethernet
MOXA 1
Switch Serial
OAI
Ethernet

FrontEnd: Galil 1: Galil 2: Wafer Satge


WEP Galil I/O Pneumatic
ADAM Switch & Focus
WEE Controller Box
MOXA 2
OAI

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 509
Electronics Electronics Rack Components

COM Ports Used by the Tool

Table 20: COM Ports (1 to 10) Used by Tool

Serial
Device Location Configuration
Port

UPS COM1 System Controller

Not available COM2 System Controller

MVS Controller COM3 Serial Device Server #1

GEM Host-serial COM4 Serial Device Server #1

Not used COM5 Serial Device Server #1

Reserved for Laser (not used on Litho) COM6 Serial Device Server #1

Service Panel (box A42) COM7 Serial Device Server #1

Unassigned - Ultratech COM8 Serial Device Server #1

Unassigned - Ultratech COM9 Serial Device Server #1

Unassigned - Ultratech COM10 Serial Device Server #1

COM Ports used by the FrontEnd

Table 21: COM Ports (11 to 26) Used by FrontEnd

Serial
Device Location Configuration
Port

OAI Meter COM11 Serial Device Server #2

Load Port at location A COM12 Serial Device Server #2 38400,8,N,1

Carrier ID Reader for location A COM13 Serial Device Server #2 19200,8,E,1

Carrier ID Reader for location B COM14 Serial Device Server #2 19200,8,E,1

Load Port at location B COM15 Serial Device Server #2 38400,8,N,1

Load Port at location C COM16 Serial Device Server #2 38400,8,N,1

Reticle Bar Code Reader COM17 Serial Device Server #2 9600,7,E,2

Carrier ID Reader for location C COM18 Serial Device Server #2 19200,8,E,1

Robot COM19 Serial Device Server #2 38400,8,N,1

Prealigner COM20 Serial Device Server #2 38400,8,N,1

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 510
Electronics Electronics Rack Components

Table 21: COM Ports (11 to 26) Used by FrontEnd (Continued)

Serial
Device Location Configuration
Port

Wafer Pre-heat Station (not used on Litho) COM21 Serial Device Server #2

Frontend-unassigned COM22 Serial Device Server #2

Frontend-unassigned COM23 Serial Device Server #2

Frontend-unassigned COM24 Serial Device Server #2

Frontend-unassigned COM25 Serial Device Server #2

Frontend-unassigned COM26 Serial Device Server #2

IP Addresses
System Controller and any network-enabled devices on stepper use internal network addresses
(192.168.1.x). Network communication to Wide Area Network (WAN) will be controlled by
Ethernet gateway. Table Table 22 shows the IP addresses for various devices.

Table 22: IP Address Assignments

Device IP Address Location

Gateway 192.168.1.1 Electronics Rack

(Reserved for 3000-series) 192.168.1.2 N/A

PC System Controller 192.168.1.3 Electronics Rack

Stage Controller (box 7a) 192.168.1.4 Electronics Rack

I/O Controller 192.168.1.5 Electronics Rack

Pneumatic Controller 192.168.1.6 Frame

Thermal Control System 192.168.1.7 Electronics Rack

Serial Device Server #1 192.168.1.9 Electronics Rack

E84 Interface A 192.168.1.12 Chamber

E84 Interface B 192.168.1.13 Chamber

E84 Interface C 192.168.1.14 Chamber

Service Port 192.168.1.15 Frontend

Pressure Differential 192.168.1.16 Chamber

Temperature 192.168.1.17 Chamber

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 511
Electronics Electronics Rack Components

Table 22: IP Address Assignments (Continued)

Device IP Address Location

Wafer ID Reader 192.168.1.22 Frontend

Serial Device Server #2 192.168.1.31 Frontend

Light Tower Controller 192.168.1.41 Frontend

Track Interface box 192.168.1.42 Frontend

(Reserved for LP) 192.168.1.50 N/A

(Reserved for LP) 192.168.1.51 N/A

(Reserved for LP) 192.168.1.52 N/A

(Reserved for LP) 192.168.1.53 N/A

Service Panel (box A42) 192.168.1.54 Frame

(Reserved for Test) 192.168.1.55 N/A

(Reserved for Test) 192.168.1.56 N/A

(Reserved for Test) 192.168.1.57 N/A

(Reserved for Test) 192.168.1.58 N/A

(Reserved for Test) 192.168.1.59 N/A

(Reserved for Test) 192.168.1.60 N/A

(Reserved for Test) 192.168.1.61 N/A

(Reserved for Test) 192.168.1.62 N/A

(Reserved for Test) 192.168.1.63 N/A

(Reserved for Test) 192.168.1.64 N/A

Special Boards
The following boards have been added to the system controller to ensure proper application
functionality.
„ Frame grabber 1, Cognex 8120.
„ Frame grabber 2, Monochrome/composite-color mode frame grabber, PCI, four CVBS
and one Y/C video inputs.
„ IEEE-488 (GPIB) Interface. IEEE-488.2 compatibility, PCI bus interface.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 512
Electronics Electronics Rack Components

Board Slot Assignments


Refer to Figure 351. All boards must be installed in the positions shown.

Rear Panel Connectors


A typical connections to the PC system controller is shown in Figure 352 and Table 23.

NOTE . . .
THE INFORMATION PRESENTED IN Figure 352 AND Table 23 MY VARY BETWEEN SYSTEMS DUE TO DESIGN
CHANGES AND REVISIONS.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 513
Electronics Electronics Rack Components

Figure 351: Board Slot Assignments

Matrox Dual Head Video Display


Matrox Cronos plus
Cognex MVS 8120

CPU
Empty Slot

Empty Slot

Empty Slot
PCI-GPIB

RAM

RAM

Figure 352: PC System Controller Rear View

J15 J18 J26 J27

J2 J12
J9
J4 J7 J13
J10 J14
J3 J5 J6 J8 J11
J1
J19

J16

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 514
Electronics Electronics Rack Components

Table 23: Rear Panel Connections

Connections

J1 AC Power J15 Video Out 1, first computer monitor

J2 P/S2 Mouse J16 Video Out 2, second computer monitor

J3 P/S2 Keyboard J17 not used

J4 USB J18 Video I/O, (DB25P D-SUB)

J5 USB J19 Video I/O, (BNC)

J6 Serial port J20 not used

J7 Parallel port J21 not used

J8 VGA (built-in) - not used J22 not used

J9 LAN, Ethernet router/Gateway J23 not used

J10 USB J24 not used

J11 USB J25 not used

J12 Audio line in J26 GPIB

J13 Audio line out J27 Video I/O

J14 Microphone in

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 515
Electronics Serial Device Server (MOXA)

Serial Device Server (MOXA)


Unity steppers have two boxes:
z An 8 port box located in the Network / Serial Distribution box in the electronics rack.
z A 16 port box located in the front end.
The following tasks have been performed for the Serial Device Server:
z An IP address is Assigned to the box.
z COM ports are Specified for each port.
z Individual port characteristics are Modified if required.
The following COM numbers are allocated to the system.
z Port 1 is provided by the system controller motherboard.
z Port 2 does not exist.
z Ports 3-10 are assigned to the MOXA in the Network / Serial Distribution box.
z Ports 11-26 are assigned to the MOXA in the front end.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 516
Electronics Serial Device Server (MOXA)

Figure 353: Location of the Serial Device Servers (MOXAs)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 517
Electronics Serial Device Server (MOXA)

Figure 354: Moxa

Alphanumeric display Transmit and receive indicators for positions 1-8

Ethernet port (contains activity indicators) Serial port positions 1-8

Model NPort 5610-8 NPort 5610-16

Location Electronics rack Front end

Com port assignment 3-10 11-26

IP address 192.168.1.9 192.168.1.31

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 518
Electronics Serial Device Server (MOXA)

Distribution Controller
The function of the distribution controller is to provide flexibility of controlling the system from
multiple places.

Figure 355: Distribution Controller, Front View

SAT. MAIN +5 VDC


Made in USA

DISTRIBUTION CONTROLLER
P/N 01-25-03993, Rev.
Assembly ID: A14a MAINT.

Figure 356: Distribution Controller, Rear View

Assy I.D.
J26 J24 J23 J22 J1 J2 J3 J4 J5 J6
A14a J27 J25 J10 J11

SWITCH SWITCH
USB USB USB MAINT. EXTERNAL NETWORK SPARE SPARE SPARE CONSOLE INTLK IN INTLK OUT
SATELLITE MAIN IN USB NETWORK SWITCH

J28

GND MAIN VIDEO OUT STEPPER VIDEO OUT

J12 J13 J14 J15 J16 J17 J18 J19 J20 J21

MAIN VIDEO IN MAIN SATELLITE MAINTENANCE SPARE STP VIDEO IN MAIN SATELLITE MAINTENANCE SPARE
100-140 VAC, 1 A, 50/60 Hz
FUSE: 250 VAC 1.25A SLOW BLOW

There are three possible ways or places from which an operator may interface with the system
controller:
1. The main operator console on the EFEM unit.
2. An optional service console near the electronic rack (is not offered at time of publication of
this manual).
3. An optional satellite console that may be connected to a panel on the chamber’s left side (is
not offered at time of publication of this manual).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 519
Electronics Serial Device Server (MOXA)

IO Controller
The IO controller collects and distributes data, distributes power, and interfaces with the system
controller.

Figure 357: IO Controller, Front Panel

The IO controller contains two major components:

IO Breakout Board . . . The IO breakout board sorts and distributes sensor data inputs
and outputs, providing an interface between the sensors and the Galil controller. The IO
breakout board also distributes DC power to some system sensors.

Galil IO Controller . . . The Galil IO controller interfaces the sensor data received from the
IO breakout board with the system software. The information is output through an
ethernet connection to the system controller. The Galil IO controller requires an IP
address. The IO controller contains space for an additional Galil IO controller, for future
upgrades.

UPS
The un-interruptible power supply (UPS) provides temporary AC power to the system controller
in the event of a power failure or EMO operation. This allows the system controller enough time
to save data, properly close files and then shut down.

Interlock Controller
The interlock controller is responsible for disabling components or subsystems when safety
interlocks are actuated with the status communicated through I/O controller.

Stage Controller
A microcomputer utilizing an Intel processor is the heart of this controller. Running VxWorks
software, the controller directs all stage movements in X, Y, Z and Theta under command from
the system controller. The Z1, Z2 and Z3 actuators are the actuator portion of the focus control
loop.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 520
Electronics Serial Device Server (MOXA)

Stage Driver
This unit provides the 3-phase drive currents to both of the X stage motors, and the Y stage
motor. It operates under command of the Stage controller.

Theta, ZTT and Lift Pin Driver


Also operating under command of the Stage controller, this unit provides the drive to the course
and fine theta actuators, the three Z actuators, and the lift pin actuator on the stage docking
platform.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 521
Electronics Electronic Components Not in the Rack

Electronic Components Not in the Rack

Chamber
The environmental chamber contains electronic controls for its HVAC unit, including
temperature and pressure controls.

Robot Controller
Control of the equipment front end module (EFEM) is provided by this controller. Under
command of the system controller, the robot controller drives the robot to pick and place wafers.
It also controls the docking and undocking of the wafer pods. See Figure 358.

Figure 358: Robot Controller

AC Socket

Fuse

EMO Connector

Controller #1

Robot Arm
RS-232 Ports

Robot Platform

Prealigner

Reticle Transfer
Controller #2

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 522
Electronics Electronic Components Not in the Rack

The controller interfaces to the robot and prealigner directly using 56-pin ELCO connector
cables.
The controller is used to control both the robot and prealigner via a split bus. This means that
the controller is logically designed as two separate controllers housed in one controller unit. One
half of the controller unit controls the robot arm and platform and the other half controls the
prealigner. Each side of the controller unit is equipped with two motion control boards, a CPU
board, and a serial communications board. See Figure 358 on page 522.
The host PC computer interfaces with the Frontend controller through Ethernet and MOXA # 2
which communicates with the robot controller through RS-232 cables. The host computer end
of the cable has nine pins (DB9) and the controller end of the cable has 25 pins (DB25).

NOTE . . .
THE POSITION OF THE ELCO CONNECTOR PORTS MAY VARY. REFER TO THE MARKINGS ON THE CONTROLLER TO
DETERMINE THE ELCO CONNECTOR REQUIRED FOR EACH PORT. IN ADDITION, EACH ELCO CONNECTOR IS
EQUIPPED WITH TWO KEY PINS TO HELP ENSURE THAT ELCO CABLES ONLY CONNECT TO SPECIFIC ELCO PORTS.

Wafer Handler
The FrontEnd rear breakout panel includes the following electronic components:

N Port Server . . . Used to convert TCP/IP ethernet signals into RS232 serial signals. Frontend
process tools use RS232 signals, while the host software and user interface use ethernet
signals.

ADAM modules . . . Located on the N Port Server, used to connect and distribute ethernet and
RS232 signals.

24 V DC power supply . . . Used to transform AC power into 24VDC. The following devices
require 24VDC power:
„ ADAM modules
„ Light tower
„ Track interface sensors
„ Door interlock sensors
„ Wafer ID reader

Operator Terminal
The unit is located at the front of the system, on the EFEM unit. It can be installed either on the
right or left side of the Frontend. This unit connects to the system controller through the
distribution controller. The operator terminal is made up of several components, as listed below.
Refer to Figure 359, Figure 360 and Figure 361.
z Flat panel monitor
z Flat panel touch screen monitor

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 523
Electronics Electronic Components Not in the Rack

z USB port
z Read/write CD-ROM drive
z Keyboard
z Trackball

NOTE . . .
THE TWO FLAT PANEL MONITORS APPEAR TO BE IDENTICAL. THE ONLY DIFFERENCE BETWEEN THE TWO IS THAT
THE LOWER MONITOR, USUALLY DISPLAYING MACHINE OPERATING SYSTEM, HAS TOUCH SCREEN CAPABILITY.

Figure 359: Front View of the User Interface Keyboard and Drives

Trackball

Keyboard

USB Port

CD-ROM

Figure 360: Back View of the Keyboard Assembly

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 524
Electronics Electronic Components Not in the Rack

Figure 361: User Interface Terminal

Monitor

Monitor Power Supply Adapter

Touch Screen Monitor

Supporting Arm

Keyboard

Monitor Power Supply Adapter

Supporting Arm

Communications Port

Side View Rear View

NOTE . . .
NEWER UNITS WILL BE SHIPPED WITH A STOP BAR TO PREVENT THE USER INTERFACE TERMINAL FROM
CONTACTING THE FOUP OR FOSB PODS.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 525
Electronics Electronic Components Not in the Rack

Operator Control Panel


This panel, as shown in Figure 363, which is located at the front of the EFEM unit, provides a
way to control the chamber and system power, defeat chamber interlocks, and it audibly
indicates chamber temperature alarms.

Figure 362: Operator Control Panel Location

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 526
Electronics Electronic Components Not in the Rack

Figure 363: Operator Control Panel

MAIN CHAMBER CHAMBER


POWER LIGHTS

CHAMBER CHAMBER
START STOP

TEMPERATURE ALARM
ALARM STOP

MAIN SYSTEM INTERLOCK


POWER ON

OFF

SYSTEM ILLUMINATOR
ON ON

SYSTEM ILLUMINATOR
OFF OFF

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 527
Electronics Circuit Breakers

Circuit Breakers
WARNING
TURNING OFF THE MAIN CIRCUIT BREAKER OF THE SYSTEM DOES NOT
DISABLE ALL 120V OR 208V AC FROM THE AC CORD AND CIRCUIT
BREAKER TERMINALS. FOR THIS REASON, NO WORK SHOULD BE
PERFORMED IN THE AC CONTROL PANEL UNLESS THE AC CORD IS
UNPLUGGED FROM THE CHAMBER AND LOCKED OUT.

WARNING
TURN OFF SYSTEM POWER, UNPLUG THE ELECTRICAL PANEL FROM THE
CHAMBER, AND LOCK OUT THE AC CORD BEFORE TESTING OR
REPLACING ANY OF THE CIRCUIT BREAKERS.

Circuit breakers associated with the stepper are located in two places, the environmental
chamber and the AC power control panel on the electronics rack.

Chamber Breakers
Three-phase facility power is fed to the chamber through a conduit connected to the top of the
chamber at the left rear corner, as viewed from the operator control station. The point of
connection to the chamber is at the main contactor (breaker), located in a removable panel at
the upper rear corner of the chamber’s left side. This contactor can provide AC power
disconnection through three methods, as follows:
„ Manually, through a lever located at the back of the chamber’s left side.
„ Automatically, through any of the EMO buttons located on the chamber.
„ Automatically, through a ground-fault interrupter located within the same panel as the
contactor.
Power from the contactor is distributed to the following breakers located within the same panel
as the contactor:
„ Compressor - supplies power to the HVAC compressor.
„ Control power - supplies power to the EMO transformer and control circuit.
„ Stage heater - not currently used.
„ Chamber heater - for the heating coils in the HVAC unit.
„ Stage blower - not currently used.
„ Chamber blower - for the clean air supply blower
„ Stepper power - supplies power to the process module outlet, at the inside top left rear
corner. The cord from the AC power control panel connects to this outlet.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 528
Electronics Circuit Breakers

AC Control Panel Breakers


The layout of the AC control panel is shown in Figure 364. The types and rated currents of all
breakers are given in Table 24. For detailed information on wiring refer to Drawing sets 19-25-
02228 in “Appendix E”.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 529
Electronics Circuit Breakers

Upon arrival at the top of the AC control panel, AC power is connected to CB1, the main breaker.
Once CB1 is set to OFF, the red portion of the handle must be pressed before it can be set back
to ON. All other breakers supply power to the outlet strips. See drawing set 19-25-02228.

Figure 364: AC Power Panel

Z, THETA & LIFT PINS, CLC

MVS CONTROLLER

FRONT END

SPARES (A10c2-J1-5)

DISTRIBUTION
CONTROLLER
AUXILIARY
SERVICE PANEL

SPARE (A10c1-J1)

SPARE (A10c1-J2, J3)

WEP, INTERLOCK CNTRLR


I/O CNTRLR, RACK FANS
STAGE X/Y DRIVERS
(INTERLOCKED)

SPARE (A10b1-J4)
CHAMBER INTERFACE
PANEL
WAFER EDGE EXPOSURE
LIGHT SOURCE
UPS (SYSTEM CNTRLR),
NETWORK, SERIAL
STAGE PIEZO DRIVER
AIR GAUGE

ILLUMINATOR

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 530
Electronics Circuit Breakers

Table 24: Circuit Breakers

Breaker &
(Amp, Function Note
Phase)

CB1 (60,3) Main AC power is still present within the AC control panel
unless the AC cord is unplugged from the chamber.

CB2 (20,3) Dual Illuminator

CB3 (10,1) Z, Theta & Lift Pin Drivers,


CLC

CB4 (10, 1) MVS Controller

CB5 (15, 1) Frontend

CB6 (10, 1) Spares

CB7 (10, 1) Distribution Controller

CB8 (10, 1) Auxiliary-Maint Provides power to the GFCI outlet located on the side of
the AC control panel.

CB9 (10, 1) Spare

CB10 (10, 1) Spare

CB11 (10, 1) WEP, I/O & Interlock


Controllers, E-Rack Fans

CB12 (10, 1) Staging-XY Drivers

CB13 (10, 1) Spare

CB14 (10, 1) Chamber I/F Panel Provides power to the J8 outlet located on the back of the
AC control panel. This outlet supplies power to the
interface panel at the back of the chamber’s left side.

CB15 (10, 1) Edge Exposure Light Source

CB16 (15, 1) UPS & System Controller

CB17 (10, 1) Piezo and Air Gauge

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 531
Electronics Low Voltage Control Circuit

Low Voltage Control Circuit


Contained within the AC power control panel is a +24 V DC power supply. This power supply
outputs +24 V DC as long as the chamber is supplying AC power to the process module’s AC
power control panel, and CB1 is on.
The low voltage from this power supply provides a safe method of remotely controlling the AC
power to major system components. It provides power to the “MAIN SYSTEM POWER” indicator,
indicating that the system power is on. It also is fed through the “SYSTEM ON”, and “SYSTEM
OFF” buttons on the operator control panel, allowing remote control of the system power
contactor.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 532
Electronics Interlocks and EMO Block Diagrams

Interlocks and EMO Block Diagrams


The following pages show the block diagrams for the Interlocks which may be used for
troubleshooting the system.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 533
Electronics Interlocks and EMO Block Diagrams

Figure 365: Interlock Flow Chart 1

Software Reset Software Read

Interlock
Read Status Bits are
Reset
Start Interlock Read Through
&
Status Bits I/O Controller
Clear Latches

Reset Signals
are sent from
I/O Controller

Interlock Y
Status
Ok?

Latched in Latch Front-End Y Disable Y


Interlock Interlock Door Switch
Controller Status Bits Switch? On?

N N

Chamber Y
Door
Switch?

Stage Y
Air Pressure?

N Stage Y
Vacuum?

Send Stage
Disable Wait
Controller
Robot 2 Seconds
Error

The 2 Second Disable


Robot, and Stage Delay Allows Time Stage
are Disabled by For the Stage Controller Drivers by
Interlock Controller to properly Removing AC
through Hardware Shut Down Power

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 534
Electronics Interlocks and EMO Block Diagrams

Figure 366: Interlock Flow Chart 2

Bottom Shut Down AC


Thermal Y CDA Y N
Chamber Power in
Start Switch Pressure
Switch Illuminator
Ok? Ok?
Ok? Controller

N N Y

Top
Error N
Chamber
Lamp Won’t
Switch
Start
Ok?

Turn Off
Thermal N Wait 5 Lamp
Switch
Minutes Through
Ok?
CPU

CDA
Pressure N
Time Delay
Switch
Ok?

Lamp
Power Up

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 535
3050 Zanker Rd, San Jose, CA 95134

Chapter 8
Diagnostics

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 536
Diagnostics Diagnostics Disclaimer

Diagnostics Disclaimer
Diagnostic tests are described with the intention of setting up, adjusting, and operating the
stepper.
Customer acceptance of a stepper is based on performance criteria and contracted
specifications; not on passing or failing any individual setup or diagnostic routine.

NOTE . . .
SPECIFICATIONS LISTED IN THIS SECTION ARE SUBJECT TO CHANGE.

WARNING
USE CAUTION WHEN HANDLING ELECTRICAL COMPONENTS. TO AVOID
PERSONAL INJURY AND DAMAGE TO THE SYSTEM, TURN SYSTEM POWER
OFF WHEN REMOVING OR REPLACING PC BOARDS, CONNECTORS, AND
OTHER SYSTEM COMPONENTS.

CAUTION
USE STANDARD ANTISTATIC PRECAUTIONS, I.E., GROUNDING SELF BEFORE
HANDLING BOARDS (CLEANROOM GARMENTS TEND TO GENERATE STATIC
ELECTRICITY).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 537
Diagnostics Short Step Focus Routine

Short Step Focus Routine

Purpose
The goal of the stepper’s focus system is to place the surface of the wafer in the middle of the
aerial image’s depth-of-focus region. The stepper adjusts the wafer’s focus until the sensor
readings from the left, back, and right air probes match those stored in machine variables.
Short step focus helps the technician determine the correct sensor set points for the center of
depth of focus. These set points then define the optimum focus plane.
During short step focus, the wafer is shifted in the X, Y, and Z axes about the aerial image center
as a test pattern is exposed on the wafer. This produces a string of focus test pattern boxes
which are analyzed after the wafer is developed. Refer to Figure 367 and Figure 368.

Figure 367: Short Step Focus, Locations to Read Focus

1
2
3

+
-21,-1 21,-1 4
5
6
7
8
9
10
11
12
-1,-21 13
14
15
16
17
18
-
19

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 538
Diagnostics Short Step Focus Routine

Figure 368: Short Step Focus Test Pattern Boxes

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 539
Diagnostics Short Step Focus Routine

The center box of the string (offset 10 µm to the right from the others) represents the center-
of-focus position, as determined by air probe set points (probe counts) stored in machine
variables. By inspecting the focus test pattern boxes, the wafer’s center position within the aerial
image can be determined. If necessary, the stored air probe set points are changed. This will
result in the correct positioning of wafers during subsequent focuses.
This procedure explains the steps necessary to perform short step focus and update the
appropriate focus variables. This will ensure the focus system positions the surface of the wafer
in the middle of the aerial image within ± 0.5 µm.

When to Perform this Procedure


z On a regular PM schedule. See the “AP200/300 Preventive Maintenance Manual”.
z After performing “Fine Focus System Setup” on page 547, it is a good idea to re-check focus
to ensure focus has not moved.

Before Performing This Procedure


z Inspect the reticle stage, wafer chuck and the test reticle. Clean if needed.
z Ensure all chamber doors are closed.

Equipment Required
z Test reticle
z Ultra-flat focus test wafers
z Wafer inspection microscope

Procedure
1. Load the layer 1 or layer 2 process program that corresponds with the Ultratech test reticle
in use, using the Load Process Program function of Process Program Tools, one of the
setup tools.
2. Load and align the test reticle, using Reticle I/O from the setup menu and Load/Unload
Reticle from the Reticle Tools part of the setup tools function.

NOTE . . .
PELLICLE MUST BE INTACT ON THE TEST RETICLE BECAUSE A MISSING PELLICLE MAY SHIFT FOCUS BY
APPROXIMATELY 1 µM.

3. Load one or more test wafers on a load port.


4. Load the short step focus program:
From the main menu:
Press: Setup Menu

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 540
Diagnostics Short Step Focus Routine

Press: Setup Tools


Prompt: “Select a tool kit”
Select: Focus Tools . . . from this list
Press: Next >
Select: Short Step Focus . . . from the list
Press: Next >
Prompt: “Zero machine offsets?”
Press: Yes
Press: Next >
Prompt: “Select a test:”
Select: Short Step Focus . . . from the list
Press: Next >
5. The next two screens setup the short step focus parameters. Select the values for each
option by moving up or down with the tab or shift/tab keys or use the trackball. Enter values
desired and press “Next >” after completing each screen.

Figure 369: Short Step Focus Setup Menu One

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 541
Diagnostics Short Step Focus Routine

Figure 370: Short Step Focus Setup Menu Two

Description Of Short Step Focus Options


Reticle Position . . . reticle field number which will be exposed on the wafer.

First wafer site # . . . the position on the wafer where the first cycle of exposures will occur.

Number of wafer sites . . . the total number of cycles to be exposed on the wafer. For 8-inch
and 12-inch machines, 4 cycles are available. If the number of wafer steps selected is more
than one, parameters will be the same for each step. To change parameters such as
exposure, expose one or two steps with the same parameters, then exit the routine and start
over, specifying a new “First wafer site #” and other desired parameters. At least 2 wafer
sites should be exposed to evaluate focus. Three wafer sites are recommended.

Exposure Energy . . . the nominal exposure energy for exposing short step focus wafers (9 to
9999 mJ/cm2).

Number of focus steps per site . . . total amount of exposure boxes exposed in each cycle
(3,5, 7, 9, 11,13, 15, 17, or 19). A default focus steps of 19 is normally used.

Focus step size . . . the distance in µm between each exposure step (0 to 5µm). The total
stepping distance should not exceed ±20µm. A default step size of 1.25 µm, which results
in a total stepping distance of ±11.25 µm for 19 steps, is normally used.

Focus Tolerance . . . focus tolerance is the distance from the “In Focus” plane that is
determined to be acceptable for setting the “In Focus” flag. Changing this value will not
affect F[7,3], the running product focus tolerance variable. The variable which is altered is
G[24] used for short step focus only (0.08µm to 3µm).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 542
Diagnostics Short Step Focus Routine

Machine focus offset . . . a machine offset which puts the wafer at a different focal plane.
The range of the offset is from -30 to 350 µm. A default may be set in machine variable
“Focus Offset For Exposure” on page 634. A positive offset moves the wafer away from the
air probes and visa versa. Note that this offset is added to F[1,1], F[1,2] and F[1,3] during
short step focus and when running product wafers.

Reticle focus offset . . . this offset is used if the UT test reticle has no pellicle, or has a known
focus offset (± 3 µm).
6. Continue the procedure.
Screen: Focus Sensor Set Points: L=0.00 B=0.00 R=0.00 volts
Please press Next to continue.
Press: Next >
Prompt: “Update focus setpoints?”
Select: Yes or No . . . if this is the first short step focus run, press “No”, and skip
ahead to Step 8. If the short step wafer has already been read and the
focus corrections are to be entered, press “Yes” and proceed with
Step 7.
7. Enter the focus offset (including sign), determined from the reading of the short step focus
wafer, at each of the three points. Remember, it is the focus step size that determines the
µm change from box to box. After all entries have been made, press Next >. Refer to
Figure 371.

Figure 371: Updating Focus Offsets

8. Expose image.
Prompt: “Expose steps (short-step images) of the selected site(s)?”
Select: Yes . . . to expose a wafer and continue the procedure
~ or ~
Select: No . . . to end the procedure
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 543
Diagnostics Short Step Focus Routine

Do: Select wafer(s) as directed.


The selected wafer(s) will be exposed.
Prompt: “Expose the next site?”
Select: No . . . if all desired sites have been exposed
~ or ~
Select: Yes . . . to expose another cycle on the wafer, if space is available
Press: Next >
9. Unload and develop the wafer(s).
10. Using a microscope, check focus at three locations (-21,-1), (-1,-21), (21,-1) of each
exposure cycle (See Figure 372 on page 544). A focus log (see form in Figure 373 on
page 545) should be used to record results when reading focus. Mark boxes for each cycle
based on the number of steps you selected in the short step focus menu. To calculate center
focus use this formula: (# µm top good focus box + # µm bottom good focus box)/2.
11. If center focus for at least three short step focus cycles (wafer steps) are within 0.5 µm of
each other, and within 0.5 µm (or process tolerance) of center, do not adjust focus setpoints.
If focus error is >0.5 µm (or process tolerance), update focus setpoints using the average
errors for each location.

Figure 372: Reading Short Step Focus

1
2
3

+
-21,-1 21,-1 4
5
6
7
8
9
10
11
12
-1,-21 13
14
15
16
17
18
-
19

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 544
Diagnostics Short Step Focus Routine

Figure 373: Focus Log

FOCUS LOG

Stepper Serial Number Crew/Shift Date

WAFER SHORT STEP FOCUS RESULTS


First Image Second Image Third Image Fourth Image
Step Size _____ µm Step Size _____ µm Step Size _____ µm Step Size _____ µm

1 1 1 1 1 1 1 1 1 1 1 1
2 2 2 2 2 2 2 2 2 2 2 2
3 3 3 3 3 3 3 3 3 3 3 3
4 4 4 4 4 4 4 4 4 4 4 4
5 5 5 5 5 5 5 5 5 5 5 5
6 6 6 6 6 6 6 6 6 6 6 6
7 7 7 7 7 7 7 7 7 7 7 7
8 8 8 8 8 8 8 8 8 8 8 8
9 9 9 9 9 9 9 9 9 9 9 9
10 10 10 10 10 10 10 10 10 10 10 10
11 11 11 11 11 11 11 11 11 11 11 11
12 12 12 12 12 12 12 12 12 12 12 12
13 13 13 13 13 13 13 13 13 13 13 13
14 14 14 14 14 14 14 14 14 14 14 14
15 15 15 15 15 15 15 15 15 15 15 15
16 16 16 16 16 16 16 16 16 16 16 16
17 17 17 17 17 17 17 17 17 17 17 17
18 18 18 18 18 18 18 18 18 18 18 18
19 19 19 19 19 19 19 19 19 19 19 19
(-21, -1) (-1, -21) (21, -1) (-21, -1) (-1, -21) (21, -1) (-21, -1) (-1, -21) (21, -1) (-21, -1) (-1, -21) (21, -1)

Best Focus Box # Best Focus Box # Best Focus Box # Best Focus Box #

Probe Count Corrections Probe Count Corrections Probe Count Corrections Probe Count Corrections

Left Back Right Left Back Right


Average ± µm corrections
Average Probe Count corrections
from Short Step Focus Printout

YES NO FINAL RESULTS


Performed Air Probe Calibration?
(Adjusted Air Probe Needle Valves)
YES NO Left Back Right
Performed µm/Volt Calibration? Total ± µm corrections
(Adjusted Gain Potentiometers)

L B R L B R L F R
Final Probe Counts (Setpoints) µm/Volt after adjusting potentiometers, Final Focus Stepper Motor Counts
After Updating Short Step Focus or after updating Short Step Focus Printout after updating Short Step Focus

NOTE: For focus specifications, please refer to the Focus Chapter in the Maintenance manual.
NOTE: If the Probe Counts are out of tolerance, perform Air Probe and µm/Volt Calibration; and Repeat Short Step

Remarks

Signature
Litho Focus Log.WMF

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 545
Diagnostics Short Step Focus Routine

Prompt: “Run another ‘Short Step Focus’ wafer?”


Select: Yes . . . to run the test again. The procedure will start over from Step 5 on
page 541, and offsets may be re-entered if desired.
~ or ~
Select: No . . . if no offsets were noted.

Figure 374: Updated Offsets

12. Continue the above procedure until the best focus is obtained within ± 0.5 um (or production
focus tolerance).
Once short step focus shows the stepper in focus, check the new probe set points printed
by short step focus to the print monitor (see Figure 374). These values are stored for left,
back, and right respectively.
If these values are outside the range of 0 V ± 5 V, perform “Fine Focus System Setup” on
page 547, and then “Image Tilt”.
13. Save machine variables. From the main menu:
Press: Setup Menu . . . to access the setup menu.
Press: Machine Variables . . . from the setup menu.
Select: Save . . . from the list.
Press: Next >
Select: System Drive . . . from the list.
Press: Next >
Screen: Machine Variables were saved successfully.
Press: Next >

After Performing This Procedure


z If focus was changed by < 2 µm, and tilt was changed by <1 µm across the field, then the
procedure is done. If larger adjustments were made, perform “Fine Focus System Setup” on
page 547.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 546
Diagnostics Fine Focus System Setup

Fine Focus System Setup

Purpose
To set the air sensors to read 0 ± 0.5 V (virtual center of sensor range) when the stepper is in
good focus and centered about its depth-of-focus.
This procedure should be performed whenever the L, B, or R focus sensor set points go outside
the range of 0 ± 5 V, or µm/volt are outside the range of -2.000 ± 0.05. These values are
displayed in focus diagnostics.

When to Perform this Procedure


z After a short step focus if necessary.

Before Performing This Procedure


z Machine must be focused to within ±0.5 µm. See “Short Step Focus Routine” on page 538.

Equipment Required
z Test wafer (ultra-flat)
z Small flat blade screwdriver

Procedure
1. Load one or more test wafers on a load port.
2. Load a wafer, using Wafer I/O from the setup menu.
3. From the setup menu, go to focus diagnostics
Press: Setup Tools
Prompt: “Select a tool kit”
Select: Focus Tools . . . from the list.
Press: Next >
Select: Focus Diagnostics . . . from the list.
Press: Next >
Select: Y - Focus System Setup . . . from the list.
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 547
Diagnostics Fine Focus System Setup

Screen: Displays Figure 375 - Focus System Setup

Figure 375: Focus System Setup Wizard

Press: FINE
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 548
Diagnostics Fine Focus System Setup

4. The computer will put the wafer into the hold mode, and get the sensor readings (see
Figure 376).

Figure 376: Air Probe Adjust Window

5. Verify focus is NOT ACTIVE on the screen.

CAUTION
VALVES MUST NEVER BE ADJUSTED WHILE FOCUS IS ACTIVE, OR AIR GAUGE
CALIBRATION WILL BE LOST.

6. Adjust the left, back, and right air probe valves to display 0 ± 0.5 V. Use Zero Stats to zero
mean and sigma values after an adjustment.
Do: Adjust the valves.
Press: Zero Stats . . . wait 10 seconds. Verify mean values in µm for left, back, and
right are all 0 ± 0.1. If not, repeat valve adjustments.
7. Update the focus sensor variables.
Press: Next >
Prompt: “Print Statistics? Select ‘Yes’, ‘Next’ to continue, ‘Exit’ will exit.”
Press: No
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 549
Diagnostics Fine Focus System Setup

Prompt: “Keep settings or Enter new settings, ‘Next’ to Continue.” . . . see Figure 377

Figure 377: Keep or Enter Settings

Press: Next > . . . to keep the updated settings.


8. Adjust sensor gain.
Screen: “Adjust Sensor Gains” . . . see Figure 378

Figure 378: Adjust Sensor Gains Information Screen

Press: Next >


Prompt: “Sample Range [10] um (1 to 50)
Type: 10 . . . if this is not the default number.
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 550
Diagnostics Fine Focus System Setup

9. The screen will display values of µm/volt for left, back and right air probes, as shown in
Figure 379. The µm/volt should be -2.0 ± 0.05 for the L, B & R air probes. If necessary,
adjust the gain (span) potentiometers (R42, R47, & R52 for left, back, and right respectively)
on the air gauge amplifier board to read -2.0 ± 0.05 µm/volt (refer to the on-screen photo).

Figure 379: Sensor Gain Adjust Screen

NOTE . . .
THERE IS AN APPROXIMATE 5 SECOND DELAY BETWEEN THE MECHANICAL ADJUSTMENT OF THE POT AND A
SCREEN READING UPDATE.

10. If the pots have been adjusted:


Press: Next >
Press: Exit
Do: Return to Step 3 on page 547 and repeat the procedure to this point.
11. Update the sensor calibrations:
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 551
Diagnostics Fine Focus System Setup

Screen: “Update Sensor Calibrations” . . . see Figure 380.

Figure 380: Update Sensor Calibrations

Press: Next >


Screen: “The wafer may...” . . . see Figure 381.

Figure 381: Wafer Position Information

Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 552
Diagnostics Fine Focus System Setup

Screen: “Actuator step size 1 µm (0 to 10)”


“Sample range 15 µm (5 to 75)” . . . see Figure 382.

Figure 382: Actuator Step Size and Sample Range

Press: Next >


12. Evaluate the graph. L, B and R plots should be approximately linear, with similar slopes (see
Figure 383).

Figure 383: Sensor Cal Plot

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 553
Diagnostics Fine Focus System Setup

Press: Next >


13. Left, back, right, and average µm/volt should be -2.000 ± 0.05 (see Figure 384). If µm/volt
is not -2.000 ± 0.05, press ‘Exit’ and restart the procedure again at Step 3 on page 547. If
values are within specification, update and record µm/volt variables.

Figure 384: Sensor Calibration Statistics

Press: Next >


Prompt: “Update µm/volt variables?”
Press: Yes
Press: Next >
Prompt: ‘Fine’ Focus System Setup is done. Press Next to continue.
Prompt: Would you like to save Machine Variables?”
Press: Yes
Press: Next >
Prompt: “Machine Variables were saved successfully....”
Press: Next > . . . returns to focus diagnostics menu.
Press: Exit . . . returns to setup menu.
14. To display the results of the calibration:
Press: Print Monitor . . . to display the results as shown in Figure 385

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 554
Diagnostics Fine Focus System Setup

Figure 385: Microns Per Volt Calibration Printout

Press: Done . . . to exit print monitor.

After Performing This Procedure


z Perform “Short Step Focus Routine” on page 538 to ensure that focus is still within
specification.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 555
Diagnostics MVS Offset Calibration (Stepper MVS Overlay)

MVS Offset Calibration (Stepper MVS Overlay)

Purpose
This procedure is performed to calibrate the hardware offsets for the MVS.

Methodology
Variations in MVS hardware can lead to nonzero alignment offsets.
Color offset is caused by the phenomena associated with how different colors of light are
reflected from a mirrored surface. The printed image produced by the blue light during exposure
may be in a slightly different location on the wafer compared to the location where the
alignment image produced by the green light was projected.
The MVS hardware offset variables R[17,1], R[17,2], and R[17,3] allow us to compensate for
the combined effect of the MVS hardware offsets and color offset on stepper alignment. During
this procedure the variables R[17,1], R[17,2], and R[17,3] are calibrated.

Specifications
After calibration, the residual offsets should be less than or equal to ± 0.1 µm (±100 nm) for
R[17,1] and R[17,2]. The residual offset for R[17,3] should be less than or equal to 2 µR.

MVS Offset Variables


z R[17,1] - X On Axis MVS Overlay Offset (unit of measurement: µm)
z R[17,2] - Y On Axis MVS Overlay Offset (unit of measurement: µm)
z R[17,3] - Theta On Axis Overlay Offset (unit of measurement: rad)

NOTE . . .
IF THE VALUE OF R[17,1] OR R[17,2] EXCEEDS ±0.50 µM AND CANNOT BE ADJUSTED USING THE SOFTWARE,
THEN CALL ULTRATECH FIELD SERVICE.

When to Perform this Procedure


z When overlay measurements show a consistent mean offset and/or a consistent field
rotation.
z MVS offsets should not be updated frequently, only when appropriate Statistical Process
Control data collection shows a consistent mean offset or consistent mean field rotation.
z After MVS pixel calibration is performed.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 556
Diagnostics MVS Offset Calibration (Stepper MVS Overlay)

Before Performing this Procedure


z Before calibrating offsets, the stepper must be clean, in good focus and passing all other
diagnostic tests.

Equipment Required
z Resist coated wafers
z Test reticle
z KLA or similar metrology tool
z Microscope

Procedure
The method used here to calibrate MVS offsets assumes a wafer with resist coating is exposed
and developed twice. A slightly lower than usual exposure time and less development time may
be necessary to obtain optimum results.
1. Create wafers to measure
1.1 Load the test reticle into the reticle library.
1.2 Place resist-coated wafers on one of the load port.
1.3 Create a job using Layer 1 test reticle data. Refer to “Job Creation” on page 65.
1.4 Select enough wafers for the desired sample size (typically 4 wafers).
1.5 Start the job and process layer one.
1.6 Develop the layer one wafers.
1.7 Place the developed layer one wafers on one of the load ports.
1.8 Create a job using Layer 2 test reticle data and using site by site alignment. Refer to “Job
Creation” on page 65.
1.9 Select all of the layer one wafers.
1.10 Start the job and process layer two.
1.11 Develop the wafers again.
2. Obtain X and Y overlay readings at or near (-1, -1):
„ Measure alignment error using a metrology tool such as KLA metrology machine.
„ Measure one site per field near the top center of the field.
„ If a metrology tool is not available, read and record overlay verniers in X and Y located
at (-1, -1), using fields that were aligned with both eyes, not edge fields. See Figure 386.
3. Obtain theta overlay readings (if required).
„ Obtain the mean Y offset readings at both (-21,0) and (21,0) for all samples.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 557
Diagnostics MVS Offset Calibration (Stepper MVS Overlay)

„ If a metrology tool is not available, read and record overlay verniers in Y only at (-21,-
1) and (21,-1). Use these readings only for theta calculation - do not include them in
the X and Y offset calculation.

Figure 386: Vernier Alignment

Box in a box
structure for KLA
reading

Verniers for
Manual Readings

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 558
Diagnostics MVS Offset Calibration (Stepper MVS Overlay)

Figure 387: Field Coordinates for Overlay

-21,-1 21,-1
-1,-1
Read X and Y offsets here

Read Y only for theta here

4. Calculate (or obtain) the mean X and Y offsets for all samples.
„ If necessary, convert the mean X and Y offset values to millimeters.
5. Calculate (or obtain) the mean theta offset for all samples.
„ If necessary, convert the mean theta offset value to µRad.
6. To manually calculate theta, calculate the mean Y reading for all of the upper left sites
(-21,0) and the mean Y reading for all the upper right sites (21,0). Also calculate the
separation between the two measurement sites in X. Then calculate the mean rotation as
follows:

Y ( UpperLeft ) – Y ( UpperRight )
θ = ------------------------------------------------------------------
Separation
Where θ is in µRad if:
Y(UpperLeft) and Y(UpperRight) are in µm.
Separation is in meters (mm ÷ 1000).

7. Enter the measured offsets:


Press: Setup Menu
Press: Setup Tools
Select: Alignment Tools . . . from the list
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 559
Diagnostics MVS Offset Calibration (Stepper MVS Overlay)

Select: Manual Overlay Offset Entry . . . from the list

Press: Next >


Prompt: Select Offsets to update...
Select: Machine On Axis MVS . . . from the list
Press: Next >
Screen: <as seen in Figure 388>

Figure 388: Enter X, Y and Theta Offsets

Do: Enter the measured offsets


Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 560
Diagnostics MVS Offset Calibration (Stepper MVS Overlay)

Screen: <as seen in Figure 389>

Figure 389: Enter Scale and Rotation

Do: Enter scale and rotation value, if available.


Press: Next >
Screen: Displays a summary of the results as shown in Figure 390.

Figure 390: MVS Correctables Summary

Press: Next >


Prompt: Update Correctables?

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 561
Diagnostics MVS Offset Calibration (Stepper MVS Overlay)

Select: Yes . . . to update the MVS offsets


~ or ~
Select: No . . . to leave the MVS offsets unchanged
Press: Next >
Prompt: Select Offsets to update...
Press: Exit . . . as required to return to the setup menu
8. If the correctables were updated, repeat the test and confirm that the residual offsets are
within specifications.
„ If specifications cannot be met, refer the problem to Ultratech Field Service, supplying
them with the results.
9. Save the machine variables, using the Machine Variables function from the setup menu.

After Performing this Procedure


z None

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 562
Diagnostics Wafer Align and Return ‐ Prealign

Wafer Align and Return ‐ Prealign

Purpose
The “Wafer Align and Return - Prealign” routine is used to find and update array offsets. These
offsets are used to ensure that each stepper places wafers in exactly the same position on the
chuck, ensuring that prealign targets can be captured without resorting to a time-consuming
spiral search.
The routine first checks whether or not a reticle is loaded, then it goes on to load a wafer,
positions the wafer at the prealign site, captures the prealign targets, and then drops off the
wafer. Offsets are calculated by determining the difference between the process program data
prealign target position and the actual prealign target position. Theta offsets are calculated by
comparing the left eye key-to-target offset to the right eye key-to-target offset.
These offsets will be used to update the image position variables A[2,1] and A[2,2]. They are
under the wafer stage variables.

When to Perform this Procedure


z When a stepper’s image array offsets are to be matched with those of another stepper.

Before Performing this Procedure


z None

Equipment Required
z Setup wafers with layer 1 patterns (also referred to as Golden wafer or setup wafer).
z Test reticle.

Procedure
1. Load the layer 2 process program that corresponds with the Ultratech test reticle in use,
using the Load Process Program function of Process Program Tools, one of the setup
tools.
2. Load and align the test reticle, using Reticle I/O from the setup menu and Load/Unload
Reticle from the Reticle Tools part of the setup tools function.
3. Load one or more test wafers on a load port.
4. Enter the Wafer Align and Return routine from the Setup menu:
Press: Setup Tools
Select: Material Handler Tools . . . from the list.
Press: Next >
Select: Wafer Align and Return . . . from the list.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 563
Diagnostics Wafer Align and Return ‐ Prealign

Press: Next >


5. Select an alignment mode.
Select: Prealign . . . this option will prealign the wafer and determine X,Y and theta
offsets.
Press: Next >
6. Zero the machine offsets.
Prompt: Zero machine offsets?
Select: Before test . . . to zero machine offsets prior to each test.
~ or ~
Select: Before each cycle . . . to zero machine offsets prior to each cycle.
~ or ~
Select: Never . . . to leave machine offsets unaltered
Press: Next >
Do: Select wafer(s) as directed.
7. Enter the number of cycles from 1 to 5000.
Prompt: “Number of cycles [10] (1 to 5000)”
Type: <desired number of cycles to run> . . . into the field.
Press: Next >
Prompt: Update variables:
Select: After Test . . . variables will be updated after all cycles have been completed
~ or ~
Select: After Wafer . . . variables will be updated after each wafer
~ or ~
Select: Never . . . variables will not be updated
Press: Next >
8. Wafer(s) will be transferred from selected slot(s) to the stepper and prealignment will be
performed.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 564
Diagnostics Wafer Align and Return ‐ Prealign

9. If the stepper is able to prealign, the wafer(s) will be processed and the results will be
displayed (see Figure 391).

Figure 391: Wafer Align and Return Prealign Results

If the stepper drops into manual assist mode, assist as necessary.


Press: Next >
10. Update variables.
Prompt: “For Update use”
Select: Mean Offsets or Current Offsets . . . as desired.
Press: Next >
Do: Load setup wafer(s).
Prompt: “Update variables?”
Press: Yes
Press: Next >
Prompt: Do you want to use the prealign angle to adjust the robot prealigner?
Press: Yes or No
Press: Next >
11. Exit routine.
Prompt: “Exit Routine?”
Press: Yes
Press: Next > . . . to return to main menu.
~ or ~
Press: Exit . . . to return to the main menu.
12. From the setup menu, record machine variables.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 565
Diagnostics Wafer Align and Return ‐ Prealign

After Performing this Procedure


z None

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 566
Diagnostics Frosty OAT Calibration

Frosty OAT Calibration

Purpose
Frosty OAT is an artifact or image placed on a fixture mounted on the XY stage. The artifact is
made up of a highly reflective chrome piece of glass. The goal of this procedure is to define the
mechanical location of the Frosty OAT under the MVS camera windows and calculate rotation of
the reticle with respect to the Frosty OAT.

NOTE . . .
THE FROSTY OAT SOFTWARE CALIBRATION IS DESCRIBED IN THIS MANUAL. REFER TO PREVENTIVE
MAINTENANCE MANUAL FOR BOTH HARDWARE AND SOFTWARE CALIBRATIONS.

Introduction

Variables
The variables for frosty OAT position are listed under the Variables > Machine Configurations >
Frosty OAT Configuration. As an example:
X Frosty OAT MVS Position = 431
Y Frosty OAT MVS Position = 302.60
These values should be roughly the same for all AP tools and are created after a successful
frosty OAT setup. However they do not represent the Frosty OAT position under either the left
or right camera. They represent the Frosty OAT position relative to the reticle baseline (0,0).
Perform the following to find out Frosty OAT positions under left and right MVS cameras:
z Deduct 3.2 mm (distance from baseline to center of MVS windows) from Y value to get the
Y position of the Frosty OAT under both cameras:
„ (302.6 - 3.2 = 299.4)
z Add camera separation (21.5 mm) to X value to get the X position of the Frosty OAT for the
left camera:
„ (431 + 21.5 = 452.5)
z Deduct camera separation (21.5 mm) from X value to get the X position of the Frosty OAT
for the right camera:
„ (431 - 21.5 = 409.5)

When to Perform This Procedure


z Lens adjustment (replacement, bridge rotation)
z XY stage adjustment (replacement, rotation)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 567
Diagnostics Frosty OAT Calibration

z Reticle slider adjustment (diddler position reset, replacement)


z MVS bridge adjustment (rotation, replacement)

Before Performing This Procedure


z Ensure reticle load is working and the results are within specifications.
z Ensure Frosty OAT hardware has already been adjusted to the proper height and rotation.

Equipment Required
z Unity Litho MVS Test Reticle

Procedure
1. Load the layer 2 process program that corresponds with the Ultratech test reticle in use,
using the Load Process Program function of Process Program Tools, one of the setup
tools.
2. Load and align the test reticle, using Reticle I/O from the setup menu and Load/Unload
Reticle from the Reticle Tools part of the setup tools function.
3. Go to Frosty OAT Setup wizard from Main menu.
Press: Setup Menu
Press: Setup Tools
Select: Alignment Tools
Press: Next >
Select: Frosty OAT Setup
Press: Next >
4. Zero the offsets.
Prompt: Zero machine offsets?
Press: Yes
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 568
Diagnostics Frosty OAT Calibration

5. The wizard will try to locate the Frosty OAT hardware location using previously stored X and
Y stage coordinates. The stepper will locate the Frosty OAT using the left camera, then (if
successful) it will do the right camera. See Figure 392.

Figure 392: MVS Search Windows

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 569
Diagnostics Frosty OAT Calibration

If the stepper can not find the Frosty OAT, then the wizard will drop into Manual Assist mode.
This will allow the user to manually locate and teach the stepper the location of the Frosty
OAT. Refer to Figure 393.

Figure 393: Manual Assist Menu

If the stepper can not find the Frosty OAT, it may be one of three reasons: hardware feature
not found, MVS focus out of range, or MVS illumination is either too bright or too dark.
Perform the following to complete the Frosty OAT search:
5.1 Use the MVS focus and illumination adjustments to fine tune the image.
5.2 Use the XY stage control panel to locate the Frosty OAT image.
5.3 Use the Search K/T to capture the target once it is within the MVS camera windows.
5.4 Press Done to continue Frosty OAT search.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 570
Diagnostics Frosty OAT Calibration

6. When the Frosty OAT is found using each of the MVS cameras, the following will appear:

Figure 394: Frosty OAT Results

Press: Next > . . . to continue.


7. The results will be updated to machine variables.
8. Return to Setup menu.

After Performing this Procedure


z Save machine variables

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 571
Diagnostics Zero Wafer

Zero Wafer

Purpose
To set variables for positioning the chuck relative to the center of the projected reticle image.
This ensures the images are printed properly on the wafer based on the created reticle data and
also ensures consistency from stepper to stepper in printing images on the wafers and finding
the alignment marks on subsequent layers.

NOTE . . .
THIS PROCEDURE IS TYPICALLY PERFORMED ONCE DURING THE SYSTEM INSTALL. FOLLOWING THIS SETUP, A
SET OF LAYER 1 WAFERS (NORMALLY REFERRED TO AS SETUP WAFERS OR GOLDEN WAFERS) ARE CREATED,
ETCHED AND SAVED FOR A LATER USE. IF NECESSARY ONE OF THE WAFERS CAN BE USED TO PERFORM “Wafer
Align and Return - Prealign” on page 563 WITHOUT A NEED OF PERFORMING THE ZERO WAFER TEST
AGAIN.

To perform the zero wafer test, a special reticle data is loaded and used to print two images on
a plain resist coated wafer. The two images are printed at the top edge and the right edge of
the wafer. The wafer is developed and checked under the microscope. At each location, a known
feature or coordinate must be at the wafer edge with an error of 50 µm.
The known coordinate is (x = 10, y = 0) for the right edge and (x = 0, y = -10) for top edge of
wafer.

NOTE . . .
IDEALLY THE COORDINATE (0,0) AT BASELINE IS THE BEST TO USE, BUT HARDWARE LIMITATIONS ON 300MM
WAFER DOES NOT ALLOW THE (0,0) TO BE PRINTED AT THE RIGHT EDGE OF THE WAFER. DUE TO THIS
LIMITATION, THE TWO COORDINATES (0,-10) AND (10,0) ARE USED.

NOTE . . .
FOR SOME RETICLES SUCH AS UT485, UT486, AND UT496, SPECIAL RULERS HAS BEEN ADDED TO THESE
COORDINATES. THE HORIZONTAL RULER IS AT (10,0) AND VERTICAL RULER IS AT (0,-10). FOR RETICLES THAT
DO NOT HAVE THESE RULERS, THE GRID POINTS AT (10,0), AND (0,-10) COORDINATES ARE USED.

Specification: 50 µm

When to Perform this Procedure


z To create a setup wafer.
z One time during installation of tool.
z XY stage replacement.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 572
Diagnostics Zero Wafer

z After reticle positioning setup.


z Lens housing replacement or adjustment.

NOTE . . .
UNDER NORMAL CIRCUMSTANCES, THIS TEST SHOULD NOT HAVE TO BE PERFORMED.

Before Performing this Procedure


z None

Equipment Required
z Ultratech test reticle
z Resist covered silicon wafer
z Wafer inspection microscope

Procedure

Create the Zero Wafer


1. Load one or more test wafers on a load port.
2. Create a one-wafer job using the ZeroWafer.pcr process program. For a detailed description
of the job creation process, refer to “Job Creation” on page 65.
3. After the wafer has been exposed, unload and develop the wafer and take it to the
microscope to be evaluated.

Determine the Zero Wafer Offset


During zero wafer alignment, the wafer is positioned under the output prism so the projected
images will be exposed onto the wafer at the correct locations. Differences in X and Y between
where the exposed images are placed and where they should be located on the wafer are noted
as offsets.
Use a microscope to determine the exact position of the images on the developed zero wafer.
If the position of the images are found to be off by more than 50 µm in X or Y, make offset
corrections to variables A[2,1] and A[2,2], resulting in the proper positioning of subsequent
wafers. Refer to Figure 395 through Figure 397. Figure 396 and Figure 397 show horizontal
ruler at (X= 10, Y = 0 and vertical ruler at (X = 0, Y = -10).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 573
Diagnostics Zero Wafer

Figure 395: Zero Wafer Offset Calculation

Projected
Image @
(0,-10) Location Wafer
Edge

2 mm
(8,0)

(0,-12) Projected
Image @
(10,0) Location
20 X 50 µ Rectangular
Dashes and Spaces

Top Edge For Right Edge For


Y Offset X Offset

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 574
Diagnostics Zero Wafer

Figure 396: Horizontal and Vertical Ruler Positions in the Field

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 575
Diagnostics Zero Wafer

Figure 397: Horizontal and Vertical Rulers

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 576
Diagnostics Zero Wafer

Determine the X Offset


1. Place the zero wafer on a measuring microscope.
2. Find position (10,0) on the right image of the wafer in the microscope, see Figure 395.
3. If the (10,0) image position is off the wafer, use the next readable position.
Each position is 2 mm apart. Calculate this into the distance obtained from the next readable
position.
4. With the measuring microscope, determine how far removed the (10,0) coordinate is from
the right edge of the wafer.
This is the offset in X. If the offset is less than 50 µm, X offset correction is not required.

NOTE . . .
IF A MEASURING MICROSCOPE IS NOT AVAILABLE, USE THE HORIZONTAL RULER OR THE 50 X 20 µM
RECTANGULAR DASHES AND SPACES BORDERING EACH CELL TO DETERMINE THE DISTANCE BETWEEN THE (10,0)
COORDINATE AND THE RIGHT EDGE OF THE WAFER.

5. If the (10,0) coordinate is off the wafer to the right of the right edge, the wafer needs to be
positioned closer to home in X to properly align the wafer to the image. Therefore, it would
be considered a negative (-) offset correction.
For example, the X offset for Figure 395 is approximately -0.200 mm.
6. If the (10,0) coordinate is on the wafer surface to the left of the right edge, the wafer needs
to be positioned farther from home in X to properly align the wafer to the image. Therefore,
it would be considered a positive (+) offset correction.
7. Note the X offset (in mm) and its sign for use in “Update Chuck Positioning To Image Array
Center”.

Determine the Y Offset


1. Find position (0,-10) on the back (or top) image of the wafer (opposite from notch) in the
microscope, see Figure 395. If there is a ruler at this location, then the center of the ruler
(0) should be at the edge.
2. If the (0,-10) image position is off the wafer, use the ruler or the next readable position.
Each position is 2 mm apart. Calculate this into the distance obtained from the next readable
position.
3. With the microscope, determine how far removed the (0,-10) coordinate is from the back
edge of the wafer.
This is the offset in Y. If the offset is less than 0.050 mm, Y offset correction is not required.
4. If the (0,-10) coordinate is off the wafer, the wafer needs to be positioned closer to home
in Y to properly align the wafer to the image. Therefore, it would be considered a negative
(-) offset correction.
For example, the Y offset for Figure 395 is approximately -0.100 mm.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 577
Diagnostics Zero Wafer

5. If the (0,-10) coordinate is on top of the wafer surface forward of the back edge, the wafer
needs to be positioned further from home in Y to properly align the wafer to the image.
Therefore, it would be considered a positive (+) offset correction.
6. Note the Y offset (in mm) and its sign for use in “Update Chuck Positioning To Image Array
Center”.

Update Chuck Positioning To Image Array Center


1. From the Setup menu, select Variables (F1).
2. From the Variables menu, select Machine Configuration \ Wafer Stage System. Refer to
Figure 398.

Figure 398: Wafer Stage System (Composite of Multiple Screens)

3. Update variable A[2,1] for “X Chuck Centered On Image Reference” to make the right edge
of the wafer correspond to location 10,0:
Select: A[2,1] “X Chuck Centered On Image Reference” . . . by touching upon
it or clicking on it.
Press: Calculator . . . button under “Mode”
Do: Click in the “Enter Formula” field
Type: = . . . to begin a referenced variable formula
Select: A[2,1] “X Chuck Centered On Image Reference” . . . by touching upon
it or clicking on it, to make it the referenced variable. The value of X
Chuck Centered On Image Reference will appear in the “Enter Formula”
field

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 578
Diagnostics Zero Wafer

Do: Complete the formula with a “+” and then type the offset with its sign, within
parenthesis, after the “+”.
As an example, if the current value of X Chuck Centered On Image Reference is
340 mm and the measured offset is -0.155 mm, the formula should appear as:
340 + - 0.155.
Press: Calculate . . . to calculate the new value
Press: Assign . . . to update the variable
4. Update variable A[2,2] for “Y Chuck Centered On Image Reference” to make the edge of the
wafer correspond to location 0,-10:
Select: A[2,2] “Y Chuck Centered On Image Reference” . . . by touching upon
it or clicking on it.
Press: Calculator . . . button under “Mode”
Do: Click in the “Enter Formula” field
Type: = . . . to begin a referenced variable formula
Select: A[2,2] “Y Chuck Centered On Image Reference” . . . by touching upon
it or clicking on it, to make it the referenced variable. The value of Y
Chuck Centered On Image Reference will appear in the “Enter Formula”
field
Do: Complete the formula with a “+” and then type the offset with its sign, within
parenthesis, after the “+”.
As an example, if the current value of Y Chuck Centered On Image Reference is
165 mm and the measured offset is 0.37 mm, the formula should appear as: 165
+ 0.37.
Press: Calculate . . . to calculate the new value
Press: Assign . . . to update the variable
5. If either the X or Y offsets were updated, repeat this procedure to expose another zero wafer
until the images are less than ± 0.015mm from the edge.

After Performing this Procedure


z Save the machine variables, using the “Machine Variables” function from the setup menu.
z Create a Setup wafer or Golden wafer from this machine.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 579
Diagnostics Product Focus Exposure Matrix

Product Focus Exposure Matrix

Purpose
This procedure is performed to determine the best focus and exposure for a product. There are
two parts to this procedure:
‹ Setting up focus and exposure
‹ Exposing and examining the wafer.
Setting up focus and exposure:
This is used to modify step specific focus and exposure values for focus exposure matrix or
specialized script data. This wizard provides functions that allow the user to characterize the
performance of focus and exposure.
Exposing and examining the wafer:
After the wafer has been exposed and developed, the wafer will be examined through a
microscope to determine best exposure and focus combination.

When to Perform this Procedure


z To determine best exposure energy and best focus offset values for a new product.

Before Performing this Procedure


z Stepper focus should be optimized (using short step focus).
z Illuminator exposure system should be calibrated and stabilized.

Equipment Required
z Product resist coated wafers.

Procedure

Focus Exposure Setup


1. Load layer one process program data. (Refer to “Process Program Tools” on page 204)
2. To access the Focus Exposure Setup Menu from the Main Menu:
Press: Setup Menu . . . Shift-F5 using keyboard.
Press: Variables . . . F1 using keyboard.
Press: Process Program Data . . . or use trackball to expand tree.
Press: Focus Exposure Matrix Setup . . . or select using trackball.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 580
Diagnostics Product Focus Exposure Matrix

3. A warning screen (Figure 399) regarding changes affecting focus and exposure results
appears. Read the warning and proceed to wizard.
Press: Next >

Figure 399: Focus Exposure Setup Warning Screen

4. The Focus Exposure Setup Wizard main menu will appear (Figure 400).

Figure 400: Focus Exposure Setup Wizard

5. Skip Steps with Probes Off Wafer


5.1 From the Focus Exposure Setup main menu (Figure 400), access Skips Steps with probes
off wafer.
Select: Skip Steps with probes off wafer

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 581
Diagnostics Product Focus Exposure Matrix

Press: Next >


Screen: As shown in Figure 401.

Figure 401: Skip Steps with Probes Off Wafer

Press: No . . . steps will not be skipped. Defaults back to Focus Exposure Setup
Wizard main menu.
~ or ~
Press: Yes . . . steps will be skipped. Defaults back to Focus Exposure Setup Wizard
main menu.
Press: Next >
6. Change Step Layout
6.1 From the Focus Exposure Setup main menu (Figure 400), access Change step layout.
Select: Change step layout
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 582
Diagnostics Product Focus Exposure Matrix

6.2 Select the field to be used in the matrix.

Figure 402: Field Selection Screen

Screen: As shown above in Figure 402.


Select: “The field number to be used”
Press: Next >
6.3 Enter the spacing and percentage of the step configuration.

Figure 403: Spacing Selection Screen

Screen: As shown above in Figure 403.


Select: “Spacing between steps” . . . text box

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 583
Diagnostics Product Focus Exposure Matrix

Type: <#> . . . (Where # is the spacing between steps.)


Select: “Percentage of step on wafer” . . . text box
Type: <#> . . . (Where # is the percentage of step on wafer.)
Press: Next >
6.4 Determine in which corner the step sequence will begin. The wafer visual layout in the
right panel will reflect the changes as accepted by pressing Next >.

Figure 404: Step Sequence Start Location

Screen: As shown in Figure 404.


Press: Lower Right or Upper Left
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 584
Diagnostics Product Focus Exposure Matrix

6.5 Determine pattern layout to be followed. The wafer visual layout in the right panel will
reconfigure the pattern layout as shown in Figure 405.

Figure 405: Grid (A), Vertical Serpentine (B) and Horizontal Serpentine (C) Patterns
with Upper Left Step Sequence

Grid (A) Vertical (B)

Horizontal (C)

Press: Grid
Press: Next >
~ or ~
Press: Vertical Serpentine
Press: Next >
~ or ~
Press: Horizontal Serpentine
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 585
Diagnostics Product Focus Exposure Matrix

Prompt: “Are you sure you want to clear the current process program data in memory
and create a matrix?”
Press: Yes . . . changes take effect and wafer configuration reflects changes to step
layout.
~ or ~
Press: No . . . changes do not take effect, so wafer configuration is returned to
original state.
Press: Next > . . . returns to Focus Exposure Setup Wizard main menu.
7. Step Order FE Autofill
7.1 From the Focus Exposure Setup main menu (Figure 400 on page 581), access Step Order
FE Autofill.
Select: Step Order FE Autofill
Press: Next >
7.2 The warning shown in Figure 406 may appear.

Figure 406: Focus Exposure Setup Warning

Press: Yes
Press: Next > . . . to proceed

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 586
Diagnostics Product Focus Exposure Matrix

7.3 Enter the auto-fill parameters.

Figure 407: Focus Exposure Wizard

Screen: As shown above in Figure 407.


Select: “Number of Exposure Changes” . . . text box
Type: <#> . . . where # is the desired number of exposure changes.
Select: “Skip step after focus change” . . . text box
Type: 0 . . . 0=No: will expose the step.
~ or ~
Type: 1 . . . 1=Yes: will skip the step.
Press: Next >
7.4 Enter the Number of Focus Changes.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 587
Diagnostics Product Focus Exposure Matrix

Select: “Number of Focus Changes” . . . text box


Type: <#> . . . where # is the number of desired focus changes.
Press: Next >
7.5 Determine how the exposure will increment.

Figure 408: Exposure Increment Format

Screen: As shown in Figure 408.


Press: mJ . . . milli-joule
~ or ~
Press: Percent

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 588
Diagnostics Product Focus Exposure Matrix

Press: Next >

Figure 409: Exposure Entry Method

Screen: As shown in Figure 409.


Select: Min-Max . . . divides the exposures evenly between min and max values.
~ or ~
Select: Center-Increment . . . requests the center exposure energy and
increments both above and below.
~ or ~
Select: Start-Increment . . . starts at a requested energy and increments up from
this point.
Press: Next >
Do: Fill out the required information in these text boxes.
Press: Next >
7.6 Choose method of Focus Entry.
Prompt: “Exposure selection complete, please select method of Focus Entry”
Select: Min-Max . . . divides the focus changes between min and max values.
~ or ~
Select: Center-Increment . . . requests the center focus offset and increments
both above and below.
~ or ~
Select: Start-Increment . . . requests a starting focus offset and increments up
from this point.
Press: Next >
Do: Fill out the required information in these text boxes.
Press: Next >
8. XY Grid FE Autofill

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 589
Diagnostics Product Focus Exposure Matrix

8.1 From the Focus Exposure Setup main menu (Figure 400 on page 581), access XY Grid
FE Autofill.
Select: XY Grid FE Autofill
Press: Next >
8.2 The information shown in Figure 406 will appear.

Figure 410: FE Matrix Setup Information

Press: Next > . . . to proceed


8.3 Enter the auto-fill parameters.

Figure 411: XY Grid FE Autofill Parameters

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 590
Diagnostics Product Focus Exposure Matrix

Screen: As shown above in Figure 407.


Select: “Reference step” . . . text box
Enter: <The step number of the center of the matrix>
Select: “Focus Increment” . . . text box
Enter: <The focus increment in µm>
Select: “Exposure Increment” . . . text box
Enter: <The exposure increment in mJ>
Select: “Focus @ reference Step” . . . text box
Enter: <The focus offset for the reference step in µm>
Select: “Exposure @ reference Step” . . . text box
Enter: <The exposure value for the reference step in mJ>
Press: Next >
Prompt: Press Next to view updated map.
Press: Next >
8.4 Review the wafer map.
9. Edit Step properties
Select: Edit Step properties . . . allows the user to edit individual steps, if desired.
Press: Next > . . . This feature allows the user to edit the skip step, step exposure
energy, and step focus offset properties of each step in process program
data. Refer to Figure 412 and Figure 413.

Figure 412: Edit Step Properties Screen

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 591
Diagnostics Product Focus Exposure Matrix

Figure 413: Editing Step Properties

10. Print Wafer Map


Select: Print Wafer Map . . . from the list.
Press: Next > . . . the map will be printed to the printer defined in the registry
11. To be able to use this matrix again, save the process program data.
Press: Exit . . . to return to the variables menu
Press: Save . . . on the left side of the screen to initiate the sequence to save the
process program data.
Press: Done . . . to exit variables screen.

Run the wafer


1. Create a job using the process program data previously saved.
2. Run the job.
3. Remove the wafer from the loadport and develop the wafer.
4. Examine the wafer under a microscope. Determine the best combination of focus and
exposure for your product.

After Performing this Procedure


z None

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 592
3050 Zanker Rd, San Jose, CA 95134

Appendix A
Machine Configuration

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 593
Machine Configuration Machine Configuration Menu

Machine Configuration Menu


The machine configuration menu is used to allow the software program to control different
options and assemblies. It can be used to customize the machine to perform to specific
customer requirements.
To select the machine configuration menu from the main menu:
Press: Setup Menu . . . Shift-F5 using the keyboard
Press: Variables . . . F1 using the keyboard
Press: Machine Configuration . . . To expand the tree (see Figure 414)

Figure 414: Machine Configuration Menu (Composite of Multiple Screens)

Press the buttons located at the left side of the screen to do the following:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 594
Machine Configuration Machine Configuration Menu

Print . . . Sends the variables listed in the currently viewed table to the print monitor.

Restore . . . This restores the highlighted setting to the value it had when this table was
opened.

Restore All . . . Restores any changed settings within the current table to the values they
contained when this table was opened. In other words, to the values that reside in memory.

Load . . . Loads the type of variable file that is highlighted in the drop-down menu or that
contains the currently viewed table. For example, if “User Configuration” is highlighted or
the “Prompts” table is being viewed, this button will initiate a sequence to choose and load
a User Configuration variable file.

Save . . . Saves the type of variable file that is highlighted in the drop-down menu or that
contains the currently viewed table. For example, if “Process Program Data” is highlighted
or the “Process Program Settings” table is being viewed, this button will initiate a sequence
to save the process program data variables, as they reside in memory, including any values
changed in the currently viewed table, to a disk file.

Abort . . . Exits the currently viewed table without writing to memory any changes made in the
current table.

Done . . . Exits the currently viewed table. If changes were made while the table was opened,
the user will be prompted to press Yes to write the changes to memory, or press No to
discard the changes (same as Abort), or press Cancel to return to the table, as shown in
Figure 415.

Figure 415: Settings Have Changed

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 595
Machine Configuration Machine Configuration Menu

NOTE . . .
THE PROMPT SHOWN IN Figure 415 WILL ALSO APPEAR IF THE USER HAS MADE ANY CHANGES TO A VIEWED
TABLE, AND THEN SWITCHES TO ANOTHER TABLE THROUGH THE DROP-DOWN MENU.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 596
Machine Configuration Auto Focus Alignment System (AFAS)

Auto Focus Alignment System (AFAS)


This group of variables defines positions and parameters of the AFAS sensor.
The Auto Focus Alignment System (AFAS) table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Auto Focus Alignment System (AFAS).
The Auto Focus Alignment System (AFAS) table is shown in Figure 416.

Figure 416: Auto Focus Alignment System (AFAS) Table

Settings

AFAS Target X Position


Defines the X position of the AFAS target, mounted on the edge of the chuck, relative to the
center of the chuck.

AFAS Target Y Position


Defines the Y position of the AFAS target, mounted on the edge of the chuck, relative to the
center of the chuck.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 597
Machine Configuration Auto Focus Alignment System (AFAS)

AFAS Target Z Position


Defines the Z position of the AFAS target, mounted on the edge of the chuck, relative to the
focal plane of a nominal wafer.

AFAS Target Theta Position


Defines the theta position of the AFAS target, in radians, relative to the theta initialization
position.

AFAS Target Line Width


This is the width of the AFAS target lines, in mm.

Gain for Alignment (I‐Line) Light


These values are automatically determined during the color offset setup. They are the AFAS
detector gains while under alignment light and exposure light.

Scan Length
The AFAS scan length.

Scan Back Off


The back-off offset before beginning an AFAS scan, equal to half of the “Scan Length”.

Scan Stage Velocity


The AFAS scan velocity, in mm/s.

Signal Upper Limit


The Ultratech determined upper limit for usable AFAS signal, in percent.

Signal Lower Limit


The Ultratech determined lower limit for usable AFAS signal, in percent.

Contrast Lower Limit


The Ultratech determined lower limit for usable AFAS signal contrast, in percent.

Allowable Color Offset In X Direction


The Ultratech determined allowable X direction color offset, in mm.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 598
Machine Configuration Auto Focus Alignment System (AFAS)

Allowable Color Offset In Y Direction


The Ultratech determined allowable Y direction color offset, in mm.

X Distance Between Rotation Targets


The X distance between the AFAS image rotation targets on the AFAS sensor, in mm.

Sensor Height Measurement X (Y) Position


The Ultratech determined coordinates for measuring sensor height.

Sensor Rotation Adjustment X (Y) Position


The Ultratech determined coordinates for adjusting sensor rotation.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 599
Machine Configuration AFAS Align Site

AFAS Align Site


This group of variables defines patterns and parameters for AFAS alignment.
The AFAS align site table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Auto Focus Alignment System (AFAS) >
AFAS Align Site.
The AFAS align site table is shown in Figure 417.

Figure 417: AFAS Align Site Table (Composite of Multiple Screens)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 600
Machine Configuration AFAS Align Site

Settings
These variables are AFAS versions of Process Program Data align site variables. Explanations of
these are found in “Field Align Site Data” on page 738. Note that there is one omission in this
table, “Primary Key Distance” is not needed here.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 601
Machine Configuration AFAS Rotation Adjustment

AFAS Rotation Adjustment


This group of variables defines patterns and parameters for AFAS rotation alignment.
The AFAS rotation adjustment table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Auto Focus Alignment System (AFAS) >
AFAS Rotation Adjustment.
The AFAS rotation adjustment table is shown in Figure 418.

Figure 418: AFAS Rotation Adjustment Table

Settings

Pattern Filename For AFAS Rotation Target


This is the AFAS rotation target filename.

Correlation Limit For AFAS Rotation Target


Acceptance value for the AFAS rotation target.

Coarse Search Limit Right Wafer


Acceptance value (in percent) for the initial coarse search of the right side AFAS target. For more
information on limit values, refer to “Patmax Scoring System” on page 332.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 602
Machine Configuration AFAS Rotation Adjustment

Focus Offset: AFAS Rotation Align Site


Left camera focus offset, in µm, from auto-focus center value.

Illuminator Offset: AFAS Rotation Align Site


Camera illumination offset from auto-illumination center value.

Ignore Polarity on Left Wafer Target Search


True . . . Polarity of the rotation target will be ignored. This is the default setting, as the
two targets on the AFAS sensor are opposite in polarity, one is bright field and one is
dark field.

False . . . Polarity will not be ignored.

Wafer To Target Offset Right X


Relative location, in X, of the AFAS target to the reticle key found in the right MVS window.

Wafer To Target Offset Right Y


Relative location, in Y, of the AFAS target to the reticle key found in the right MVS window.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 603
Machine Configuration Alignment System

Alignment System
This group of variables defines the configuration and critical positions for the alignment system.
The alignment system table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Alignment System.
The alignment system table is shown in Figure 419.

Figure 419: Alignment System Table

Settings

X Chuck centered on left wafer edge sensor


This variable defines the X position of the left air sensor, and is automatically updated by the
chuck setup tool - Chuck Centering

Y Chuck centered on left wafer edge sensor


This variable defines the Y position of the left air sensor, and is automatically updated by the
chuck setup tool - Chuck Centering

X Chuck centered on front wafer edge sensor


This variable defines the X position of the right air sensor, and is automatically updated by the
chuck setup tool - Chuck Centering

Y Chuck centered on front wafer edge sensor


This variable defines the Y position of the right air sensor, and is automatically updated by the
chuck setup tool - Chuck Centering

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 604
Machine Configuration Alignment Data

Alignment Data
This group of variables defines alignment system parameters.
The alignment data table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Alignment Data.
The alignment data table is shown in Figure 420.

Figure 420: Alignment Data Table (Composite of Multiple Screens)

Settings

Prealign Tolerance Maximum


During prealign, the difference between the Y readings from the left and right eye are
represented by dY. If dY is greater than the tolerance, theta will be adjusted until dY is within
that tolerance. The value entered in the “Prealign Tolerance Maximum,” or the value in process
program data (“Prealign dY Limit” on page 734), whichever is the smallest, will be used as the
tolerance. The default value is 5.0E-02 (0.05) µm. If this variable is overridden, or overrides the
process program value, it will be indicated in parenthesis next to its title, as shown in
Figure 420.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 605
Machine Configuration Alignment Data

DSA Prealign Tolerance Maximum


This value will be used as the Prealign Tolerance Maximum during DSA (Dual Side Alignment).

If No Align
This variable has been replaced by “Failed Alignment Recovery Default” on page 687.

Consecutive No‐Align
When consecutive no-align (CNA) is enabled, the stepper will “no align” “N” consecutive steps
before the computer falls into manual assist mode during a step, align and expose sequence
(“N” = value of consecutive no-align). Each time the stepper “no aligns”, it will either skip (see
“Skip”) or blind expose (see “Expose”), depending on the configuration of “Failed Alignment
Recovery Default”.
When the “Nth” consecutive step fails, the stepper falls into manual assist mode and the stepper
will zero the “no align” step counter.
CNA is enabled by entering a step count greater than 1 (up to 15 steps). Entering a 1 will disable
CNA.
The user may desire to sacrifice “N” steps to be exposed or skipped without alignment, for the
sake of increased throughput.

Gross Mis‐Align
Gross mis-align (GMA) alerts the user when large stage moves have been made in manual assist
mode during manual alignment. When GMA is enabled and manual assist mode is exited using
“Done” back into the processing mode, the stepper will compare the GMA tolerance with the
stage position before and after manual assist mode. If the difference is greater than the GMA
tolerance, the stepper will prompt “manual assist mode align offset is too large! (XXXX.XXX,
XXXX.XXX) µm, accept offset?” If Yes is pressed, the stepper accepts the offsets and continues
with the alignment at the new stage position. If No is pressed, the stepper returns to manual
assist mode and moves the stage back to its original position. The value is entered in mm.
Entering a 0 (zero) will disable GMA. This option can be a safety feature to prevent aligning to
an adjacent target.

NOTE . . .
GMA CAN ONLY BE ENABLED WHEN “Failed Alignment Recovery Default” IS SET TO “MA, Skip” OR “MA,
Expose”.

Runout Override
If set to any value other than 0 (zero), this variable will override the process program data value
“Runout Tolerance Limit”. Refer to “Runout Tolerance Limit” on page 736.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 606
Machine Configuration Alignment Data

Minimum Key setting


This value is entered at the factory, and is determined by the MVS hardware.

X Frosty OAT MVS Position

Y Frosty OAT MVS Position


These two variables identify the location of the frosty OAT. They are updated automatically
during frosty OAT calibration.

Frosty OAT Theta


This value is determined by the frosty OAT routine. It specifies the angle between the reticle
field and the stage travel axis.

Reticle ID Test Data Override


If the reticle ID in the process program is empty, the reticle ID specified in this field will be used.
Typically, it is used for the test reticles.

VMotor Distance Test Data Override Above Baseline...

Y Dumpzone Test Data Override Above Baseline...

MVS Key To Baseline Test Data Override Above Baseline...


These are test process program data overrides that are only used in the Star 100 steppers.

Flat Align DY Tolerance Override


A non-zero value here will override the process program variable “DY Tolerance Flat Align” on
page 736.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 607
Machine Configuration MVS On‐Axis System

MVS On‐Axis System


This group of variables defines MVS system parameters.
The MVS on-axis system table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Alignment System > MVS On-Axis
System.
The MVS on-axis system table is shown in Figure 421.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 608
Machine Configuration MVS On‐Axis System

Figure 421: MVS On-Axis System Table (Composite of Multiple Screens)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 609
Machine Configuration MVS On‐Axis System

Settings

MVS Dual Illuminator


This setting specifies the hardware configuration of the on-axis MVS lamp power supplies.

Enabled . . . There are two power supplies for the on-axis MVS lamps, one for the right eye
and one for the left eye. Independent control of each camera’s illumination levels is
possible.

Disabled . . . There is one MVS lamp power supply, powering one MVS lamp, whose light
output is split between the two cameras. Independent control of each camera’s
illumination levels is not possible.

Flipper Prism
This setting specifies the hardware configuration of the on-axis MVS prism.

Enabled . . . The flipper prism is utilized. During an MVS alignment, the flipper prism is
flipped down. During exposure, the flipper prism is flipped up, out of the light path.

Disabled . . . The stepper is equipped with a “Flipperless” fixed beam splitter, which remains
in the light path at all times. This option is only used in the Star 100 steppers.

Left MVS Camera Position


This automatically updated variable indicates the current position of the left MVS camera, in
steps from the “home” position.

Right MVS Camera Position


This automatically updated variable indicates the current position of the right MVS camera, in
steps from the “home” position.

Left Camera Init Pos


This variable, setup at the factory, determines the position of the left MVS camera after
initialization.

Right Camera Init Pos


This variable, setup at the factory, determines the position of the right MVS camera after
initialization.

Left Camera Motor Constant


This variable, setup at the factory, determines the motor steps per mm of travel for the left MVS
camera.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 610
Machine Configuration MVS On‐Axis System

Right Camera Motor Constant


This variable, setup at the factory, determines the motor steps per mm of travel for the right
MVS camera.

X On Axis Overlay Offset


Overlay offsets are input using a wizard. Refer to “MVS Offset Calibration (Stepper MVS
Overlay)” on page 556.

Y On Axis Overlay Offset


Overlay offsets are input using a wizard. Refer to “MVS Offset Calibration (Stepper MVS
Overlay)” on page 556.

Theta On Axis Overlay Offset


Overlay offsets are input using a wizard. Refer to “MVS Offset Calibration (Stepper MVS
Overlay)” on page 556.

EGA Correction ‐ On Axis... (4 values)


EGA corrections are input using a wizard. Refer to “MVS Offset Calibration (Stepper MVS
Overlay)” on page 556.

On Axis Left Pix To Stage...

On Axis Right Pix To Stage...


This set of eight variables determines the correlation between camera pixels and XY stage
travel. These are automatically determined by MVS Pixel Calibration.

Best Illum Left


This variable, determined by IBC, specifies the MVS illuminator setting that gives the best image
contrast in the left camera.

Best Illum Right


This variable, determined by IBC, specifies the MVS illuminator setting that gives the best image
contrast in the right camera.

Best Focus Left


This variable, determined by IBC, specifies the MVS focus setting that gives the best image
sharpness in the left camera.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 611
Machine Configuration MVS On‐Axis System

Best Focus Right


This variable, determined by IBC, specifies the MVS focus setting that gives the best image
sharpness in the right camera.

Best Focus Slope Left


This variable, determined by the auto-focus slope portion of MVS Calibrations, specifies the
correlation between MVS focus DAC settings and actual left camera focus objective movement
in microns.

Best Focus Slope Right


This variable, determined by the auto-focus slope portion of MVS Calibrations, specifies the
correlation between MVS focus DAC settings and actual right camera focus objective movement
in microns.

Flip Prism Up Settle


This variable, determined by the flipper prism portion of MVS Calibrations, specifies the settling
time of the flipper prism, when commanded to move up.

Flip Prism Down Settle


This variable, determined by the flipper prism portion of MVS Calibrations, specifies the settling
time of the flipper prism, when commanded to move down.

Best Brightness Left


This variable, determined automatically during IBC, specifies the optimum score for brightness
in the left camera.

Best Brightness Right


This variable, determined during IBC, specifies the optimum score for brightness in the right
camera.

Best Contrast Left


This variable, determined during IBC, specifies the optimum score for contrast in the left
camera.

Best Contrast Right


This variable, determined during IBC, specifies the optimum score for contrast in the right
camera.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 612
Machine Configuration MVS On‐Axis System

Auto Focus Configurable


This setting enables the user to configure several Auto Focus settings.
When Auto Focus Configurable is set to True, the following Auto Focus settings will then appear
in the MVS On-Axis System table so they can be configured and later edited, if needed:
z Focus Upper Bound Left
z Focus Lower Bound Left
z Number Of Focus Settings Left
z Focus Upper Bound Right
z Focus Lower Bound Right
z Number Of Focus Settings Right
When Auto Focus Configurable is set to False, auto focus is performed over the entire MVS focus
range (0 ~ 4096 DAC) and the number of measurement points is set to 40.

Focus Upper Bound Left


This variable, assuming Auto Focus Configurable is set to True, specifies the upper usable MVS
focus setting for the auto-focus routine.

Focus Lower Bound Left


This variable, assuming Auto Focus Configurable is set to True, specifies the lower usable MVS
focus setting for the auto-focus routine.

Number Of Focus Settings Left


This variable, assuming Auto Focus Configurable is set to True, specifies the number of points
tested during the MVS auto-focus routine.

Focus Upper Bound Right


This variable, assuming Auto Focus Configurable is set to True, specifies the upper usable MVS
focus setting for the auto-focus routine.

Focus Lower Bound Right


This variable, assuming Auto Focus Configurable is set to True, specifies the lower usable MVS
focus setting for the auto-focus routine.

Number Of Focus Settings Right


This variable, assuming Auto Focus Configurable is set to True, specifies the number of points
tested during the auto-focus routine.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 613
Machine Configuration MVS On‐Axis System

Illum Upper Bound Left


This variable, determined by the auto-illumination portion of MVS Calibrations, specifies the
upper usable illumination DAC count for the auto-illumination routine.

Illum Lower Bound Left


This variable, determined by the auto-illumination portion of MVS Calibrations, specifies the
lower usable illumination DAC count for the auto-illumination routine.

Illum Upper Bound Right


This variable, determined by the auto-illumination portion of MVS Calibrations, specifies the
upper usable illumination DAC count for the auto-illumination routine.

Illum Lower Bound Right


This variable, determined by the auto-illumination portion of MVS Calibrations, specifies the
lower usable illumination DAC count for the auto-illumination routine.

Initial Brightness for Auto Illumination Left


This variable sets the initial brightness of the left camera MVS illuminator during IBC.

Initial Contrast for Auto Illumination Left


This variable sets the initial contrast of the left camera during IBC.

Initial Brightness for Auto Illumination Right


This variable sets the initial brightness of the right camera MVS illuminator during IBC.

Initial Contrast for Auto Illumination Right


This variable sets the initial contrast of the right camera during IBC.

Current Left DAC Illum

Current Right DAC Illum


This pair of automatically updated variables indicate the current position of the MVS camera
illumination DACs.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 614
Machine Configuration MVS On‐Axis System

Current Left DAC Focus

Current Right DAC Focus


This pair of automatically updated variables indicate the current position of the MVS camera
focus DACs.

MVS Focus Walk Test


This setting will enable or disable an MVS calibration test known as focus walk. During this test,
the MVS system repeatedly captures a wafer target, as the wafer is moved in the Z axis. At the
completion of the test, X and Y means and sigmas are displayed.

Show Advanced Training Options


True . . . The pattern training wizard will allow the user to enter the advanced Patmax
training parameters such as elasticity, coarse granularity, and fine granularity.

False . . . During pattern training, the user will not be able to enter the advanced Patmax
training parameters such as elasticity, coarse granularity, and fine granularity and the
default values will be used.

Show Diagnostic Graphics


True . . . The MVS monitor will display the found, missing, and intermediate features after
Patmax search.

False . . . Only the found features will be displayed on the MVS monitor.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 615
Machine Configuration MVS Off‐Axis System

MVS Off‐Axis System


This group of variables defines off-axis MVS system parameters in a DSA equipped tool.
The MVS off-axis system table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Alignment System > MVS Off-Axis
System.
The MVS off-axis system table is shown in Figure 422.

Figure 422: MVS Off-Axis System Table (Composite of Multiple Screens)

Settings

Pixel To Stage X per...

Pixel To Stage Y per...


This set of four variables determines the correlation between camera pixels and XY stage travel.
These are automatically determined by MVS Pixel Calibration.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 616
Machine Configuration MVS Off‐Axis System

Pixel To Stage (X or Y) Offset


These are X and Y offsets in the matrix that transforms the pixel coordinate system to the wafer
stage coordinate system.

Best Illumination
This variable, determined by IBC, specifies the MVS illuminator setting that gives the best image
contrast in the off-axis camera.

Best Brightness
This variable, determined during IBC, specifies the optimum score for brightness in the off-axis
camera.

Best Contrast
This variable, determined during IBC, specifies the optimum score for contrast in the off-axis
camera.

Best Focus
This variable, determined by IBC, specifies the MVS focus setting that gives the best image
sharpness in the off-axis camera.

Focus Upper Bound


This variable, determined by MVS Calibrations, specifies the upper usable MVS focus setting for
the off-axis camera.

Focus Lower Bound


This variable, determined by MVS Calibrations, specifies the lower usable MVS focus setting for
the off-axis camera.

Focus Increment
This variable specifies the focus increment, in microns, for the off-axis camera.

Focus Time Delay


MVS off-axis focus is changed by moving the Z-chuck. When running Auto Focus, it requires a
time delay after a Z chuck move and before measuring image sharpness. This is that time delay.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 617
Machine Configuration MVS Off‐Axis System

Current Illum DAC


This automatically updated variable indicates the current position of the MVS off-axis camera
illumination DACs.

Current Focus
This automatically updated variable indicates the current position of the off-axis MVS camera
focus.

X Off Axis Overlay Offset


Overlay offsets are input using a wizard. Refer to “MVS Offset Calibration (Stepper MVS
Overlay)” on page 556.

Y Off Axis Overlay Offset


Overlay offsets are input using a wizard. Refer to “MVS Offset Calibration (Stepper MVS
Overlay)” on page 556.

Theta Off Axis Overlay Offset


Overlay offsets are input using a wizard. Refer to “MVS Offset Calibration (Stepper MVS
Overlay)” on page 556.

EGA Correction ‐ Off Axis... (4 values)


EGA corrections are input using a wizard. Refer to “MVS Offset Calibration (Stepper MVS
Overlay)” on page 556.

Off Axis Camera Reference Point X

Off Axis Camera Reference Point Y


In the off-axis camera, there is no reticle key. A reference point in the camera image is selected
as a reference point for alignment. The defaults are the camera image center; 320 in X and 240
in Y. The units are pixels.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 618
Machine Configuration Aperture System

Aperture System
This group of variables defines the parameters of the auto aperture.
The aperture system table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Aperture System.
The aperture system table is shown in Figure 423.

Figure 423: Aperture System Table

Settings

Auto Aperture
This feature specifies if a special motorized aperture assembly is installed on the stepper. The
exact aperture sizes are variable and are specified when ordering the auto aperture hardware.
The auto aperture position is determined by process program data, see “Auto Aperture Position”
on page 719.

Enabled . . . The stepper is equipped with an auto-aperture assembly.

Disabled . . . The auto-aperture assembly, even if it is present, is disabled.

Number Of Aperture Positions


This specifies the total number of aperture positions, usually 3.

AA Position Sensors
This option specifies how the auto aperture positions are determined.

Disabled . . . The position of the auto aperture will be commanded by the controller and
the Hall-Effect sensors will be ignored.

Enabled . . . The positions of the auto aperture will be sensed by Hall-Effect sensors. This
configuration usually results in a more precise positioning of the aperture.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 619
Machine Configuration Aperture System

AA Position For Test Process Program Data


This variable specifies which auto-aperture position will be used when Ultratech test process
program data is loaded, such as during short step focus. The default value is 1.

Auto Aperture Width (1, 2 and 3)


These variables specify the width, in mm, of each auto aperture opening. They are setup with
the Aperture Setup setup tool.

Auto Aperture Height (1, 2 and 3)


These variables specify the height, in mm, of each auto aperture opening. They are setup with
the Aperture Setup setup tool.

Auto Aperture Position Override

NOTE . . .
THIS VARIABLE MAY OVERRIDE THE PROCESS PROGRAM VARIABLE “Auto Aperture Position” on page 719.
PLEASE REFER TO THE NOTE IN THAT VARIABLE’S DESCRIPTION.

Use Process Program . . . The position of the auto aperture is controlled by process
program data. Refer to “Auto Aperture Position” on page 719.

Auto select - override PP . . . The position of the auto aperture will be auto-selected,
based on step size, overriding the process program data.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 620
Machine Configuration Chuck and Wafer Configuration

Chuck and Wafer Configuration


This group of variables defines parameters of the wafer and wafer chuck.
The chuck and wafer configuration table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Chuck and Wafer Configuration.
The chuck and wafer configuration table is shown in Figure 424.

Figure 424: Chuck and Wafer Configuration Table

Settings

Wafer Size (Diameter)


This setting is the default wafer size, which may be modified by process program data and
material handler settings. In general the tool supports the following standard wafer sizes: 150
mm, 200 mm and 300 mm.

Wafer Type
When process program data is loaded, the wafer type is compared to the machine configuration.
If they differ, the user is warned that “Process program data wafer type does not match machine
configuration!”. This warning is printed along with a line that shows the discrepancy. Also, the
wafer plot displayed on the screen will be drawn depending on the machine configuration.

Round w/Flat . . . The wafers being processed are round with a major flat. They may also
contain a minor flat.

Round w/Notch . . . The wafers being processed are round with a notch.

Wafer Center to Left Edge


This setting only applies if “Wafer Type” is set to “Round w/Flat”. The distance from the center
of the wafer to the left edge, assuming no minor flat (wafer radius).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 621
Machine Configuration Chuck and Wafer Configuration

Wafer Center to Front Edge


This setting only applies if “Wafer Type” is set to “Round w/Flat”. The distance from the center
of the wafer to the flat.

Wafer Flat Length


This setting only applies if “Wafer Type” is set to “Round w/Flat”. The linear length of the wafer
flat, in mm.

Left minor flat back step distance


This setting only applies if “Wafer Type” is set to “Round w/Flat”. The depth of the left minor
wafer flat if present, in mm.

X Chuck center to wafer center offset


This setting only applies to Star 100 tools with offset chucks. It specifies the distance from the
center of the chuck pedestal to the center of the wafer in X.

Y Chuck center to wafer center offset


This setting only applies to Star 100 tools with offset chucks. It specifies the distance from the
center of the chuck pedestal to the center of the wafer in Y.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 622
Machine Configuration Critical File Backup

Critical File Backup


These variables display the parameters that are set up using the path Setup Menu > Setup Tools
> Data Tools > Critical File Backup. Refer to “Critical Files Backup” on page 215.
The critical file backup table is reached through the following step path:
Setup Menu > Variables > Machine Configuration > Critical File Backup.
The critical file backup table is shown in Figure 425.

Figure 425: Critical File Backup Table

Settings

Enable Automated Critical File Backup


True . . . Automated critical file backups will be made.

False . . . Automated critical file backups will not be made.


Refer to “Critical Files Backup” on page 215 for detailed instructions on creating and managing
critical file backups.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 623
Machine Configuration Debug Log

Debug Log
This set of variables are used by Ultratech software engineers, and should not be modified.

Figure 426: Debug Log Table (Composite of Multiple Screens)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 624
Machine Configuration Debug Output

Debug Output
This set of variables are used by Ultratech software engineers and should not be modified, with
the exception of Store MVS Captured Images.

Figure 427: Debug Output Table

Store MVS Captured Images


This setting enables the user to save MVS images captured during alignment for evaluation at
a latter date. This gives the process engineer the ability to understand MVS capture failures.
The images are stored as .SDL images. All images captured and stored will be in the directory:
C:\Program Files\Ultratech Equipment\Software\ProcessStatData\MVSImages\YYYY_MM_DD\
<Process Program>\, with the name <Substrate Index>_YYYY_MM_DD_HHMMSS_mmm.sdl.
The date code is Year_Month_Day_Hour, Minute, Second_Milli Second.
The image will be named with the substrate index and a date code:
<Substrate Index>_YYYY_MM_DD_HHMMSS_mmm.sdl
Where:YYYY is the year
MM is the month
DD is the day
HHMMSS is the time in hour, minute, and second
mmm is the milli second the capture occurred
The MVS image storage is registered with Storage Management. The default settings for file
cleanup are:
z Life time — 7 days, with a minimum retention period of 1 day
z Maximum Size — 1 Gig.
The settings for this variable are as follows:

Never . . . alignment images are never captured and saved

On Failure . . . alignment images are captured and saved whenever the MVS fails to find a
pattern

Always . . . captures and saves all alignment images, there may be a slight throughput loss
if all alignment images are saved

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 625
Machine Configuration Debug Output

You can view stored MVS images (which have the file extension of DSDL) via the Vision File
Manager Wizard, which is shown below:

To view these images, do the following:


1. Go to Manual Assist > Tools > MVS File Management > Browse Bitmaps. The Vision File
Manager Wizard will appear.
2. Select Acquired Images (*.dsdl) from the drop-down menu in the Select File box to access
all stored MVS image files.
3. Navigate to the image storage folder.
4. Double-click on any of the image files, and the selected bitmap will be displayed in the
currently specified eye.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 626
Machine Configuration Filter Changer System

Filter Changer System


This group of variables defines parameters of the GHI filter changer system in the illuminator.
The filter changer system table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Filter Changer System.
The filter changer system table is shown in Figure 428.

Figure 428: Filter Changer System Table

Settings

Filter Changer Position


This setting is used to specify the default filter changer position. This default is overridden if
“Filter Changer Position” on page 728 is set to other than “Machine Default”.

GH . . . Only the G (436 nm) and H (405 nm) wavelengths, including any continuum
between, will be used to expose.

GHI . . . The entire Hg lamp available spectrum from 365 to 436 nm will be used to expose.

I-Line . . . Only the I (365 nm) wavelength will be used to expose.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 627
Machine Configuration Focus System

Focus System
This group of variables the focus system options to be used during wafer processing.
The focus system table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Focus System.
The focus system table is shown in Figure 429.

Figure 429: Focus System Table

Settings

Focus Mode
This sets the default machine focus method, which may be overridden by process program data
(refer to “Focus Mode” on page 735). The options are as follows:

Local Tilt . . . When local tilt is selected, the wafer tilt is corrected at each site. Tilt and Z-
axis will be adjusted for each site, with each Z actuator and sensor acting independently
of the others. Local tilt focus is advisable on all alignment layers where blind stepping is
not an issue. Local tilt correction also increases focus precision, with the wafer being
leveled at each site. This compensates for site specific surface variations. Local tilt may
effect throughput, due to the individual calculations and adjustments of the Z actuators
and sensors acting independently.

Global Tilt . . . When global tilt is selected, the wafer tilt is corrected at the wafer center.
After the initial tilt correction is made, as the wafer is focused at each site, the focus
error of the air sensors is averaged. The wafer will move up and down in the Z-axis
without changing the tilt. Global tilt is advisable for first layers due to an increase in
blind-stepping accuracy. When local tilt is used while blind-stepping, a 'flagpole effect'
in the theta stage design may induce wafer positioning errors in reference to the stage
position. We can use global tilt focus on first layers without a concern for site specific tilt
aberrations. The most uniform wafer surface exists before any imaging or processing has
taken place. Although a linear wafer tilt may exist, variations in wafer surface are, for all
practical purposes, negligible.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 628
Machine Configuration Focus System

Global:No Step Sample . . . To save time for Unity run modes, this focus mode, Global:No
Step Sample, does not involve any time-consuming focus action at all. This mode will
keep the global-focus plane characteristics of the current wafer, then move any given
step to its alignment or exposure position with a Z-correction term obtained by using the
system's X-tilt and Y-tilt coefficients and the step's location with respect to the center of
the wafer.

Safe Radius Moves


This selection determines whether or not safe radius moves will be performed at each site on
the wafer. Process program data defines a radius within which the three probe can be expected
to provide reliable readings. When a probe is outside this radius, it may be close to the wafer
edge or completely off the edge of the wafer and unable to provide a reliable reading. Enabling
safe radius moves will cause a wafer move that brings all the probes within the radius where a
reliable focus is performed. Then with the focus system “locked” the wafer is returned to the
align/expose site.
At the align/expose site an additional “Z” correction may occur if “Flag for Image Tilt
Corrections” is on.

Machine Override . . . This will not allow process program data to control the enabling
and disabling of safe radius moves. Safe radius moves are always enabled. To retain this
setting, the process program data file must be saved.

Proc Program Data, ON . . . This will activate safe radius moves each time the current
process program data file is loaded. To retain this setting, the process program data file
must be saved.

Proc Program Data, OFF . . . This will disable safe radius moves each time the current
process program data file is loaded. To retain this setting, the process program data file
must be saved.

Focus Humidifier
The “focus humidifier” is a hardware option that will add moisture to the air going to the air
sensors. The photo resist in some applications is very quickly dried by the dry air or nitrogen
coming from the probes. To slow this unwanted drying, the probe air is bubbled through a tank
of water.

ON . . . When selected and the hardware option is installed, the probe air will have moisture
added on its way to the air sensors.

OFF . . . When selected will disable the humidifier. If the humidifier option is not installed
leave this option set to off.

Flag for Image Tilt Corrections


This menu item determines if corrections are made for aerial image tilt.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 629
Machine Configuration Focus System

Image tilt is the tilt of the image plane (aerial image below the output prism of the lens) with
respect to the granite surface. If the image plane was perfectly parallel to the granite surface,
there would be zero image tilt. However, some amount of image tilt is possible if the lens
assembly is not parallel to the granite surface, or the reticle stage is not parallel to the input
prism. This tilt can be measured and a correction factor added to the normal Z actuator positions
to set the wafer at the image plane. Plane fit coefficients determine the correction added to the
Z actuators as the wafer is moved in X and Y, relative to the sensed focus location.
“Image Tilt” routine in focus diagnostics is used to determine plane fit coefficients; it calculates
the tilt plane in X, Y and Z coefficients (in µm per millimeter).
In normal operation the image tilt correction is used as a coarse focus adjustment which is then
followed by a fine focus adjustment provided by the air sensors. However, when edge sites are
exposed, the wafer is positioned within the safe radius for focus adjustment, and then moved
to the edge field. In this case the image tilt correction compensates for any change in the focus
as the wafer is moved up or down as needed to keep the wafer at the image plane. See
Figure 430.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 630
Machine Configuration Focus System

Figure 430: Aerial Image Tilt

Output Prism

Aerial Image Wafer


Tilt (Grossly
Exaggerated)
Tilt Correction - using
fine focus adjustments

Granite

Output Prism

Wafer
Aerial Image moved to Edge Site
Focus error caused
by moving to edge site
Tilt correction
remains the same

Granite

Output Prism
Wafer
Image Tilt Correction
corrects focus error by
moving wafer up to
aerial image.
Tilt correction
remains the same

Granite

In most situations, image tilt should be left off. There is one case where the image tilt correction
is the final focus adjustment and should be on. This occurs when “Safe Radius Moves” is turned
on, the air sensors are turned off, and edge sites are to be exposed.
Other than the case described above image tilt is generally used only as a precaution as it is
possible a combination of image tilt and aerial image less than the nominal 0.002 inch could
result in the wafer hitting an air probe during long stage moves across the wafer.
If the user decides to use this correction, the plane fit variables should be updated by running
“Image Tilt” in focus diagnostics after any focus adjustments.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 631
Machine Configuration Focus System

OFF . . . No compensating move is made for image tilt. All focus adjustments are made by
the Z actuators in reference to the air sensors.

ON . . . Vertical corrections are made to compensate for aerial image tilt. The wafer surface
remains as close to the image plane as possible during (and after) X or Y stage
movements between exposure sites. This does not affect the focus precision of the
stepper as the Z actuators and air sensors provide the fine focus adjustment after the
image tilt correction.

Focus Location
This feature is useful when alignment offsets have been entered such that alignment takes place
at a different location than exposure.

Align . . . When focus location is set to “align”, the stepper will step normally to the align
site and focus, then hold focus and move to the expose site to expose.

Expose . . . When alignment offsets are specified and focus location is set to “expose”, the
stepper will step to the expose position, set focus and hold, step to the align position to
align, then return to the expose position to expose. This is intended to prevent focus
shift over large topography.

Extended Focus Range


The air sensors are only able to sense wafer position within about a ±12 µm range from
sensor center reading, and are not very linear above ±6 µm. Some processes utilize thick
resists, where a focus offset above ±6 µm is desired. This option can enable extended focus
range, where offsets above the “Extended Focus Transition Limit” are accomplished using
the encoders on the Z actuators, which are linear over their entire range.

Enabled . . . Extended focus range is enabled, and any offset above the “Extended Focus
Transition Limit” will be performed using the encoders on the Z actuators.

Disabled . . . (default) Extended focus range is disabled, focus offsets above ±6 µm should
not be attempted.

Extended Focus Transition Limit


This option, which is only used if “Extended Focus Range” is enabled, specifies the focus offset
above which the Z position will be performed using the encoders on the Z actuators, instead of
the air sensors. The recommended value is 5 µm.

Flag for Wafer Tilt Corrections


This menu item determines how corrections are made for wafer tilt.

True . . . Global corrections for wafer tilt will be made.

False . . . Local corrections for wafer tilt will be made.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 632
Machine Configuration Focus System

Best Focus Upper Bound


This variable, determined by AFAS auto-focus, specifies the upper usable wafer focus setting.

Best Focus Lower Bound


This variable, determined by AFAS auto-focus, specifies the lower usable wafer focus setting.

Automated Substrate Thickness Check (On Global Focus)


The first thing done when running a wafer is global focus. When this feature is Disabled, the
global focus will be started from the focus height of the last wafer, minus a small safety distance.
If the feature is Enabled, then global focus will be started from the lowest Z actuator positions.
Global focus with this feature Enabled will take more time to accomplish, but will prevent
scratching, if the wafer thickness varies.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 633
Machine Configuration Focus Offsets and Tolerances

Focus Offsets and Tolerances


This group of variables defines the focus offsets for exposure and alignment, as well as the
global focus tolerance.
The focus offsets and tolerances table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Focus System > Focus Offsets and
Tolerances.
The focus offsets and tolerances table is shown in Figure 431.

Figure 431: Focus Offsets and Tolerances Table

Settings

Focus Offset For Exposure


This menu option offers the user an opportunity to enter a “process” focus offset. If a number
were entered here it would cause the surface of the wafer as seen by the air sensors, to be
offset in Z from the normal focal plane.
This variable is used during both production and maintenance focus operations. In the short
step focus menu you will see it as “machine focus offset.” In all these incarnations it is this
variable that will offset the wafer’s surface from the actual aerial image plane.
An example of its use in production may be seen when there is a thick coating of photo-resist
on the surface of the wafer. Without an offset, the focus system would center the aerial image
depth of focus on the surface of the photo-resist. If for production reasons it is more desirable
to have the depth of focus deeper into the resist and closer to the wafer surface, then a negative
offset in focus offset for exposure and alignment would be used to move the resist surface up
closer to the probes. This negative offset would push the image down into the photo resist and
closer to the wafer surface.

Focus Offset For Alignment


This feature is enabled by enabling the “Focus Offset for Alignment” feature in User
Configuration (see “Focus Offset for Alignment” on page 703). Once enabled, a value here, in
µm, will offset focus at the alignment location, relative to the exposure location. This feature is
intended for thick resist processes. To achieve good MVS image quality during alignment, it is
often necessary to offset focus to the top of the wafer surface, under the resist, during
alignment. During exposure, focus is usually set mid-way into the resist. Refer to “Focus Offset
For Exposure”, “Focus Offset for Alignment” on page 703 and “Focus Offset For Exposure” on
page 734.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 634
Machine Configuration Focus Offsets and Tolerances

Focus Tolerance
This value specifies the allowable focus tolerance from “ideal” focus that must be achieved
before the “in-focus” flag is set by the focus system. Smaller values result in tighter focus
control, at the expense of throughput.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 635
Machine Configuration Focus Positions and Settings

Focus Positions and Settings


This group of variables defines parameters for the focus system.
The focus positions and settings table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Focus System > Focus Positions and
Settings.
The focus positions and settings table is shown in Figure 432.

Figure 432: Focus Positions and Settings Table

Settings

Spiral Focus Distance


This option is used in the Star 100 series steppers for small wafers (substrates) like 2 inch.

(X and Y) Chuck Centered Under Sensors


This pair of variables define the stage position to center the chuck under the air sensors, and is
automatically updated by the chuck setup tool - Chuck Centering

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 636
Machine Configuration Focus Positions and Settings

Y Stage Limit For Raising Chuck To Maximum


This defines the minimum Y stage position required to raise the chuck to full maximum, without
hitting anything. It is determined during manufacturing.

Last Sensor Mask Sent


This is the decimal equivalent of a six-bit binary command to the sensors, coded as shown in
Figure 433.

Figure 433: Decimal Equivalent of the 6-bit Binary Word

DECIMAL BINARY; SET (=1) WHEN USED


F[7,1] = X X 0 X X X

AUTO - LOCK L B R PROBES


TILT CORRECTION MODE (F[14,3]) NOT USED

Focus Sensor (X, Y) Slope


This set of variables is determined automatically by performing the “Image Tilt” diagnostic in
focus diagnostics. They are also known as the “plane fit’ coefficients, and are used to ensure a
correct Z position of the wafer when focus is performed a a location different from the expose
location. To be effective, the “Flag for Image Tilt Corrections” on page 629 must be set to on.

Focus Sensor Z offset


This variable is also determined automatically by performing the “Image Tilt” diagnostic in focus
diagnostics.

Sensor Radius
This variable is determined by the air sensor probe block design.

Focus Probe Block


Fixed Sensor . . . The probe block is a fixed sensor type.

Pellicle Protect Sensor . . . The probe block is a retractable type, used with wafer edge
protection and pellicle protection.

X Distance From Center Of Sensors To Center Of (Left, Back,


Right) Sensor
These three variables are determined by the air sensor probe block design.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 637
Machine Configuration Focus Positions and Settings

Y Distance From Center Of Sensors To Center Of (Left, Back,


Right) Sensor
These three variables are determined by the air sensor probe block design.

(X, Y) Stage Offset For Focus Capture


Normally the focus capture routine places the wafer centered under the air sensors before
beginning a focus capture. If desired, values may be input to these variables to offset the initial
focus capture. This is normally used only with an offset chuck.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 638
Machine Configuration Focus ZAxis and Calibrations

Focus ZAxis and Calibrations


This group of variables defines parameters for the focus system.
The focus Zaxis and calibrations table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Focus System > Focus ZAxis and
Calibrations.
The focus Z axis and calibrations table is shown in Figure 434.

Figure 434: Focus ZAxis and Calibrations Table (Composite of Multiple Screens)

Settings

Z Chuck Move Step Size


When the stepper enters into manual assist mode due to MVS-alignment failure, there are times
that the operator would like to have the capability to move the chuck to adjust for the best
alignment focus, and to keep the net chuck movements as a run-time offset that would apply
to the current and subsequent wafers (until a new set of process program data is loaded).
This feature is enabled by enabling the focus offset for alignment feature in user configuration
(see “Focus Offset for Alignment” on page 703). Once activated, this feature allows the operator
to move the chuck up or down to adjust for the best alignment focus. At the end of the
adjustment, the operator has a choice to keep all the cumulative movements (as the mentioned
run-time offset), or to abandon all of them for whatever reasons.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 639
Machine Configuration Focus ZAxis and Calibrations

Although the focus offset for alignment option is a prerequisite for this feature, the use of the
associated offsets of the option are on an as-desired basis, but not mandatory.
This variable sets the units of chuck movement, in µm.

(Left, Back and Right) Sensor Reading At Focus


These three variables are determined automatically by short step focus and fine air gauge
calibration. The nominal values are 0 (zero) volts, but may deviate from this.

Z(1, 2 and 3) Actuator Position At Wafer Center Focus


These three variables are determined automatically each time focus is achieved.

(Left, Back and Right) Sensor Calibration


These three variables are determined automatically by fine air gauge calibration. The nominal
values are -2 µm/volt, but may deviate from this.

Starting Capture Position


This position, setup at the factory, is used during the focus capture routine to move the wafer
from “Lowest Position Of Actuators” to a Z position where the wafer may be sensed.

Lowest Position Of Actuators


This setting defines the “chuck down” position, and is setup at the factory.

Sensor Delay Time


This setting, determined at the factory, sets the delay time between actuator moves and stable
focus sensor readings.

Most Recent Average Actuator Position At Wafer Center Focus


This position is automatically calculated each time focus is achieved.

Most Recent Adjusted Actuator Position At Wafer Center Focus


This position is automatically calculated each time focus is achieved. It includes the above
position plus any plane fit adjustments.

Lower Saturation Point Of Sensors


This setting defines the minimum acceptable sensor voltage, and is determined by the air gauge
amplifier board electronics. This value should not be modified.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 640
Machine Configuration Focus ZAxis and Calibrations

Upper Saturation Point Of Sensors


This setting defines the maximum acceptable sensor voltage, and is determined by the air gauge
amplifier board electronics. This value should not be modified.

Maximum Z(1, 2 and 3) Actuator Position


These three variables, setup at the factory, determine the maximum allowable “chuck up”
position, under any condition.

Focus Sensor (X, Y) Slope


This set of variables is determined automatically by performing the “Image Tilt” diagnostic in
focus diagnostics. They are also known as the “plane fit’ coefficients, and are used to ensure a
correct Z position of the wafer when focus is performed a a location different from the expose
location. To be effective, the “Flag for Image Tilt Corrections” on page 629 must be set to on.

Focus Sensor Z offset


This variable is also determined automatically by performing the “Image Tilt” diagnostic in focus
diagnostics.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 641
Machine Configuration Grid Focus Parameters

Grid Focus Parameters


Grid focus is normally disabled on AP tools which use 6, 8, and 12 inch wafers. If enabled, the
system will perform special focus based on the grid variables. It is normally used for small
substrates such as a 2 inch wafer. If available, the system will use a single probe and multiple
point measurements to focus the wafer instead of using standard 3 probe focus.

Figure 435: Grid Focus Parameters

For more information on Grid Focus Parameters, refer to “Grid Focus Parameters” on page 756.
For information on its setup, refer to “Grid Focus Setup” on page 757.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 642
Machine Configuration Short Step Focus Settings

Short Step Focus Settings


This group of variables defines default settings for the short step focus diagnostic routine.
The short step focus settings table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Focus System > Short Step Focus
Settings.
The short step focus settings table is shown in Figure 436.

Figure 436: Short Step Focus Settings Table

Settings

Short Step Reticle Focus Bias


This offset is used if the Ultratech test reticle has a known focus offset, relative to product
reticles.

X(Y) Position For Short Step Focus Reading Location (1, 2, or 3)


This set of six variables define the coordinates on the short step focus image where focus is
read. The defaults are -21,-1 for location 1; -1,-21 for location 2; and 21,-1 for location 3.

Current Focus Tolerance In Short Step Focus


This is the distance from the “ideal” focus plane that is determined to be acceptable for setting
the “In Focus” flag. Changing this value will not affect “Focus Tolerance” on page 635. This
variable is used for short step focus only.

Default Number of Steps In Short Step Focus


The default number of exposure boxes short step focus will expose in each cycle (3, 5, 7, 9, 11,
13, 15, 17, or 19).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 643
Machine Configuration Short Step Focus Settings

Focus Step Size In Short Step Focus


The distance in microns between each exposure step (0 to 0.005 mm). The total stepping
distance should not exceed 40 µm (“Focus Step Size In Short Step Focus” * “Default Number of
Steps In Short Step Focus”).

Number of Sites In Short Step Focus


The total number of sites to be exposed on the wafer. For 150 mm, 200 mm and 300 mm
machines, 4 sites are available.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 644
Machine Configuration Frosty Oat Configuration

Frosty Oat Configuration


This group of variables defines pattern filenames and search parameters for the frosty OAT
routine. The frosty OAT is located on the XY stage and is captured with both left and right eyes
after a reticle load or after a field change. This identifies any reticle image skew, relative to the
stage travel axis, and identifies any errors in reticle placement introduced when a field change
is performed.
The frosty oat configuration table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Frosty Oat Configuration.
The frosty oat configuration table is shown in Figure 437.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 645
Machine Configuration Frosty Oat Configuration

Figure 437: Frosty Oat Configuration Table (Composite of Multiple Screens)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 646
Machine Configuration Frosty Oat Configuration

Settings

X Frosty OAT MVS Position

Y Frosty OAT MVS Position


For a description of these two variables, see “Y Frosty OAT MVS Position” on page 607.

Correlation Limit Reticle: Frosty OAT On‐Axis


Acceptance value (in percent) for the reticle target during a frosty OAT search. For more
information on limit values, refer to “Patmax Scoring System” on page 332.

Coarse Search Limit Reticle: Frosty OAT On‐Axis


Acceptance value (in percent) for the initial coarse search of the reticle target during a frosty
OAT search. For more information on limit values, refer to “Patmax Scoring System” on
page 332.

Pattern Filename Left: Frosty OAT On‐Axis


The pattern filename for the frosty OAT, when viewed by the on-axis left camera.

Correlation Limit Left: Frosty OAT On‐Axis


The minimum acceptable score, in percent, allowed when capturing the frosty OAT with the on-
axis left camera.

Coarse Search Limit Left: Frosty OAT On‐Axis


Acceptance value (in percent) for the initial coarse search of the frosty OAT when capturing the
frosty OAT with the on-axis left camera.

Pattern Filename Right: Frosty OAT On‐Axis


The pattern filename for the frosty OAT, when viewed by the on-axis right camera.

Correlation Limit Right: Frosty OAT On‐Axis


The minimum acceptable score, in percent, allowed when capturing the Frosty OAT with the on-
axis right camera.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 647
Machine Configuration Frosty Oat Configuration

Coarse Search Limit Right: Frosty OAT On‐Axis


Acceptance value (in percent) for the initial coarse search of the frosty OAT when capturing the
frosty OAT with the on-axis right camera.

Illuminator Offset Left: Frosty OAT On‐Axis


The illuminator offset, relative to the nominal illumination setting, that gives the best score
when capturing the frosty OAT with the on-axis left camera.

Illuminator Offset Right: Frosty OAT On‐Axis


The illuminator offset, relative to the nominal illumination setting, that gives the best score
when capturing the frosty OAT with the on-axis right camera.

Brightness Offset Left: Frosty OAT On‐Axis


Left MVS camera gain offset from the IBC center value.

Brightness Offset Right: Frosty OAT On‐Axis


Right MVS camera gain offset from the IBC center value.

Contrast Offset Left: Frosty OAT On‐Axis


Left MVS camera contrast offset from the IBC center value.

Contrast Offset Right: Frosty OAT On‐Axis


Right MVS camera contrast offset from the IBC center value.

Search Strategy: Frosty OAT On‐Axis


Option to select the search algorithm, either Patmax or CNL.

Confidence Threshold: Frosty OAT On‐Axis


Refer to “Confidence Threshold” on page 741 for an explanation of confidence threshold.

Confidence: Frosty OAT On‐Axis


Enabled . . . (Default) search with confidence

Disabled . . . Confidence checking is disabled

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 648
Machine Configuration Frosty Oat Configuration

Clutter: Frosty OAT On‐Axis


Refer to “Clutter” on page 741 for an explanation of clutter.

Disabled . . . (Default) clutter is ignored.

Enabled . . . Clutter is factored into the target score.

Asymmetrical Configuration: Frosty OAT On‐Axis


Refer to “Asymmetrical Configuration” on page 742.

Image Reference To Key Distance (X and Y)


Refer to “Image Reference To Key Distance (Left or Right) (X or Y)” on page 745.

Key To Target Distance (X and Y): Frosty OAT On‐Axis


Refer to “Key To Target Distance (Left or Right) (X or Y)” on page 745.

Image Reference To Eye Distance (X and Y)


Refer to “Image Reference To Eye Distance (Left or Right) (X or Y)” on page 745.

Alignment Type: Frosty OAT Off Axis


Undefined . . . The alignment type is currently undefined, please change this to “MVS Off-
Axis”.

MVS On-Axis . . . This setting is to be used for testing purposes only. This will be removed
in later versions of the code.

MVS Off-Axis . . . The off-axis camera will be used for frosty OAT measurements.

NOTE . . .
THE REST OF THE VARIABLES IN THIS TABLE ARE OFF-AXIS VERSIONS OF THOSE DETAILED ABOVE.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 649
Machine Configuration Illuminator System

Illuminator System
This group of variables defines operational parameters for the illuminator.
The illuminator system table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Illuminator System.
The illuminator system table is shown in Figure 438.

Figure 438: Illuminator System Table (Composite of Multiple Screens)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 650
Machine Configuration Illuminator System

Settings

NOTE . . .
THE NUMBER OF OPTIONS THAT APPEAR ON THIS MENU WILL CHANGE, DEPENDING UPON THE ILLUMINATOR
HARDWARE OPTION SELECTED.

Illuminator Hardware Type


GH Dual 1200W . . . A dual lamp illuminator, without I-line

GHI Dual 1200W . . . A dual lamp illuminator, with a filter changer

I-Line Dual 1200W . . . A dual lamp illuminator, with I-line exposure only

Exposure Energy
This is the default machine exposure energy. It is used for test exposures and is overridden by
process program data. Refer to “Exposure Energy” on page 728.

Simulate Exposure
True . . . Exposures will be simulated, and not actually performed.

False . . . Exposures will be performed normally.

Autowarmup Delay
Autowarmup performs regular exposures to help prevent large swings in lens temperature (and
hence focus) when the stepper is idle. If an amount of idle or delay time is specified, then the
stepper will execute autowarmup after it has been sitting for the specified number of seconds.
The units for autowarmup are seconds and the range is 0 to 360000.0.
If 0 (zero) is entered for autowarmup delay, the feature is disabled.

NOTE . . .
DO NOT ENTER A DELAY TIME LESS THAN 10 SECONDS OTHERWISE EVERY TIME THE STEPPER IS IDLE IT WILL
DROP INTO “WARM UP” ALLOWING NO TIME TO PERFORM ANY COMMANDS.

CAUTION
LEAVING THE STEPPER IN AUTOWARMUP FOR LONG PERIODS OF TIME WILL
DECREASE THE LAMP LIFE.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 651
Machine Configuration Illuminator System

The suggested delay is 600 seconds (10 minutes).

Autowarmup Exposure
If an autowarmup exposure energy is specified, then that value will be used for the exposure
energy in autowarmup. If no exposure energy is entered, then the standard “Exposure Energy”
will be used.
The value of the autowarmup exposure should be similar to the exposure energy used for
product.

Autouniformity Detector Mount


This variable specifies the location of the autouniformity sensor.

Chuck . . . The auto-uniformity sensor is located in the center of the chuck.

Side . . . The auto-uniformity sensor is mounted to the side of the chuck. This is the default
setting.

Exposure Scaling Factor


Exposure scaling factor is a number between 0.1 to 10. Any exposure value will be multiplied
by this number and the result will be used as the exposure. If the value is 0 (zero) this feature
is bypassed, effectively setting the scaling factor to 1.

Exposure Calibration Upper Limit


This value, entered during the exposure calibration diagnostic routine, with the filter changer
set as indicated, determines the exposure dose level for the upper calibration point. A value
should be chosen that results in the highest exposure energy, in mJ, that is used for production.

Exposure Calibration Lower Limit


This value, entered during the exposure calibration diagnostic routine, with the filter changer
set as indicated, determines the exposure dose level for the lower calibration point. A value
should be chosen that results in the lowest exposure energy, in mJ, that is used for production.

Wafer Plane Energy At Cal Upper Limit


This value is entered during the exposure calibration diagnostic routine, with the filter changer
set as indicated, after performing a series of test exposures. It is the exposure energy delivered
at the upper calibration point dose level.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 652
Machine Configuration Illuminator System

Wafer Plane Energy At Cal Lower Limit


This value is entered during the exposure calibration diagnostic routine, with the filter changer
set as indicated, after performing a series of test exposures. It is the exposure energy delivered
at the lower calibration point dose level.

Calibration Exposure
This is the exposure energy used during the sensor calibration potentiometer adjustment.

Lamp Reference Time For Test Exposure


As a mercury arc lamp ages, its exposure energy output decreases, and its spectral output
changes. An option during exposure calibration is to “Initialize lamp reference.” When this option
is chosen, as it should be when a new lamp has been installed, a test exposure is made and the
exposure time is stored here. During future wafer processing, on the first wafer of a job, a test
exposure is made before the wafer is delivered to the chuck. The current exposure time is
compared to this stored value, and if it exceeds the “Exposure Change Tolerance” on page 698,
an alarm is displayed, if “Lamp Variation Alarm Display” on page 698 is enabled. This can be
used to effectively monitor lamp aging.

Lamp Allowable Deviation From Lamp Reference Time


This value specifies the allowable lamp reference time increase before an alarm is given. Refer
to “Lamp Reference Time For Test Exposure”.

Percent Lamp Deviation From Lamp Reference Time


This is the “Lamp Allowable Deviation From Lamp Reference Time” value, expressed as a
percentage.

Wafer Plane Energy @ Zero Dose Count


This value is calculated by the exposure calibration diagnostic routine. It is a straight-line
projection of the energy at zero doses, determined by the values of exposure calibration lower
limit, wafer plane energy at cal lower limit, exposure calibration upper limit, and wafer plane
energy at cal upper limit.

Test Exposure Energy


The machine’s test exposure energy, in mJ.

Actinic Shutter Settle Duration


This value is the result of the actinic shutter settling test. It specifies the actinic shutter’s settling
time, after moving. The actinic shutter lets green light (450-600 nm) through for alignment
purposes. It blocks out light which can expose the photoresist.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 653
Machine Configuration Illuminator System

Actinic Cal Pulse Time


This variable specifies the pulse time used to trigger the actinic shutter. Refer to “Actinic Shutter
Settle Duration”.

Resident Detector Config


This specifies the type of auto-uniformity sensor.

GH . . . The installed sensor is sensitive to the G (436 nm) and H (405 nm) wavelengths,
including any continuum between.

GHI . . . The installed sensor is sensitive to the entire Hg lamp spectrum from 365 to
436 nm.

I-Line . . . The installed sensor is sensitive to only the I (365 nm) wavelength.

(X,Y) Offset From A 2,(1,2) to Position the Autouniformity


Detector
This pair of variables identifies the location of the autouniformity detector, relative to the center
of the chuck.

(X,Y) Offset From A 2,(1,2) to Visiting Probe


This pair of variables identifies the location of the optional manually-mounted uniformity
detector, relative to the center of the chuck.

OAI Serial Port Location


This variable specifies the location of the OAI port.

Electronics Rack . . . The OAI sensor will be plugged in at the electronics rack, internal to
the chamber.

Frontend . . . The OAI sensor will be plugged in at the port provided at the frontend
interface panel, external to the chamber.

Light Threshold For Exposure Shutter Closure


The light intensity threshold for determining whether light exists or not when the exposure
shutter is closed. If the detected light is greater than the threshold, it indicates a failure in the
exposure shutter. If the detected light is less than the threshold, the shutter is functioning
properly.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 654
Machine Configuration Miscellaneous Configurations

Miscellaneous Configurations
This group of variables defines the light tower configuration, as well as the equipment serial and
model numbers.
The miscellaneous configurations table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Miscellaneous Configurations.
The miscellaneous configurations table is shown in Figure 439.

Figure 439: Miscellaneous Configurations Table

Settings

Light Tower Alarms


This setting enables the light tower hardware option. If the stepper is equipped with a light
tower, then set this to “Enabled”.

Machine Serial Number


This is the Ultratech assigned machine serial number. It should not be changed.

Customer Serial Number


This variable is provided for customer use and may be set to any integer. It may be useful to
serialize a particular machine or machines in a fab if it is desired to save machine specific
process layer align offsets, CD calibration, gain and threshold values. To serialize a machine,
enter a value between 1 and 50 into Customer serial number. Once a machine is serialized, the
Z variables for that process program data can be saved to the file. When process program data
is loaded, the machine specific variables will also be loaded. Refer to “Machine Specific Offsets
(Z Array)” on page 795.

Equipment Model
This is the Ultratech assigned machine model number. It should not be changed.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 655
Machine Configuration Miscellaneous Configurations

Maximum Size of Printer File


Normally, a new print monitor file is created each day, and is stored in its entirety for the number
of days in the “Days To Keep ... Data(base)” on page 673 variable, and is then deleted. However,
if the file size exceeds the number of bytes in this setting, the file will be truncated, deleting the
oldest information.

Maximum Number of Lines in Printer File


This variable is similar to “Maximum Size of Printer File” except where that setting specifies the
maximum size of the daily printer file in bytes, this setting specifies the maximum number of
lines of text.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 656
Machine Configuration Reticle Prism Cooling

Reticle Prism Cooling


This variable enables or disables data collection from the closed-loop prism and reticle cooling
system. A complete description of the operation of the closed-loop cooling system is located at
“Reticle/Prism Cooling System (Optional)” on page 445.
The reticle prism cooling table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Reticle Prism Cooling.
The reticle prism cooling table is shown in Figure 440.

Figure 440: Reticle Prism Cooling

Settings

Enable Data Collection


Enabled . . . Data from the closed-loop cooler system will be collected.

Disabled . . . Data from the closed-loop cooler system will not be collected.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 657
Machine Configuration Reticle Mixed (TC1)

Reticle Mixed (TC1)


This group of variables defines the closed loop cooler response to the reticle mixed
thermocouple.
The reticle mixed (TC1) table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Reticle Prism Cooling > Reticle Mixed
(TC1).
The reticle mixed (TC1) table is shown in Figure 441.

Figure 441: Reticle Mixed (TC1)

Settings

Reticle Mixed Configuration


Enabled . . . The closed-loop cooler controller will monitor and respond to the reticle mixed
thermocouple.

Disabled . . . The reticle mixed thermocouple will be ignored.

Reticle Mixed: Set Point


This specifies the desired temperature at the reticle mixed thermocouple.

Reticle Mixed: Upper Error Limit


The degrees above the reticle mixed setpoint that will terminate processing, setting the mode
to recovery idle.

Reticle Mixed: Upper Warning Limit


The degrees above the reticle mixed setpoint that will trigger a system warning. Processing will
continue after user acknowledgment.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 658
Machine Configuration Reticle Mixed (TC1)

Reticle Mixed: Lower Warning Limit


The degrees below the reticle mixed setpoint that will trigger a system warning. Processing will
continue after user acknowledgment.

Reticle Mixed: Lower Error Limit


The degrees below the reticle mixed setpoint that will terminate processing, setting the mode
to recovery idle.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 659
Machine Configuration Prism Mixed (TC2)

Prism Mixed (TC2)


This group of variables defines the closed loop cooler response to the prism mixed
thermocouple.
The prism mixed (TC2) table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Reticle Prism Cooling > Prism Mixed
(TC2).
The prism mixed (TC2) table is shown in Figure 442.

Figure 442: Prism Mixed (TC2)

Settings

Prism Mixed Configuration


Enabled . . . The closed-loop cooler controller will monitor and respond to the prism mixed
thermocouple.

Disabled . . . The prism mixed thermocouple will be ignored.

Prism Mixed: Set Point


This specifies the desired temperature at the prism mixed thermocouple.

Prism Mixed: Upper Error Limit


The degrees above the prism mixed setpoint that will terminate processing, setting the mode
to recovery idle.

Prism Mixed: Upper Warning Limit


The degrees above the prism mixed setpoint that will trigger a system warning. Processing will
continue after user acknowledgment.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 660
Machine Configuration Prism Mixed (TC2)

Prism Mixed: Lower Warning Limit


The degrees below the prism mixed setpoint that will trigger a system warning. Processing will
continue after user acknowledgment.

Prism Mixed: Lower Error Limit


The degrees below the prism mixed setpoint that will terminate processing, setting the mode to
recovery idle.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 661
Machine Configuration Reticle Cold (TC3)

Reticle Cold (TC3)


This group of variables defines the closed loop cooler response to the reticle cold thermocouple.
The reticle cold (TC3) table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Reticle Prism Cooling > Reticle Cold
(TC3).
The reticle cold (TC3) table is shown in Figure 443.

Figure 443: Reticle Cold (TC3)

Settings

Reticle Cold Configuration


Enabled . . . The closed-loop cooler controller will monitor and respond to the reticle cold
thermocouple.

Disabled . . . The reticle cold thermocouple will be ignored.

Reticle Cold: Set Point


This specifies the desired temperature at the reticle cold thermocouple.

Reticle Cold: Upper Error Limit


The degrees above the reticle cold setpoint that will terminate processing, setting the mode to
recovery idle.

Reticle Cold: Upper Warning Limit


The degrees above the reticle cold setpoint that will trigger a system warning. Processing will
continue after user acknowledgment.

Reticle Cold: Lower Warning Limit


The degrees below the reticle cold setpoint that will trigger a system warning. Processing will
continue after user acknowledgment.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 662
Machine Configuration Reticle Cold (TC3)

Reticle Cold: Lower Error Limit


The degrees below the reticle cold setpoint that will terminate processing, setting the mode to
recovery idle.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 663
Machine Configuration Prism Cold (TC4)

Prism Cold (TC4)


This group of variables defines the closed loop cooler response to the prism cold thermocouple.
The prism cold (TC4) table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Reticle Prism Cooling > Prism Cold
(TC4).
The prism cold (TC4) table is shown in Figure 444.

Figure 444: Prism Cold (TC4)

Settings

Prism Cold Configuration


Enabled . . . The closed-loop cooler controller will monitor and respond to the prism cold
thermocouple.

Disabled . . . The prism cold thermocouple will be ignored.

Prism Cold: Set Point


This specifies the desired temperature at the prism cold thermocouple.

Prism Cold: Upper Error Limit


The degrees above the prism cold setpoint that will terminate processing, setting the mode to
recovery idle.

Prism Cold: Upper Warning Limit


The degrees above the prism cold setpoint that will trigger a system warning. Processing will
continue after user acknowledgment.

Prism Cold: Lower Warning Limit


The degrees below the prism cold setpoint that will trigger a system warning. Processing will
continue after user acknowledgment.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 664
Machine Configuration Prism Cold (TC4)

Prism Cold: Lower Error Limit


The degrees below the prism cold setpoint that will terminate processing, setting the mode to
recovery idle.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 665
Machine Configuration IR Sensor (TS1)

IR Sensor (TS1)
This group of variables defines the closed loop cooler response to the closed-loop cooling
system infrared (IR) sensor.
The IR sensor (TS1) table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Reticle Prism Cooling > IR Sensor (TS1).
The IR sensor (TS1) table is shown in Figure 445.

Figure 445: IR Sensor (TS1)

Settings

IR Sensor Configuration
Enabled . . . The closed-loop cooler controller will monitor and respond to the IR sensor.

Disabled . . . The IR sensor will be ignored.

IR Sensor: Set Point


This specifies the desired temperature at the IR sensor.

IR Sensor: Upper Error Limit


The degrees above the IR sensor setpoint that will terminate processing, setting the mode to
recovery idle.

IR Sensor: Upper Warning Limit


The degrees above the IR sensor setpoint that will trigger a system warning. Processing will
continue after user acknowledgment.

IR Sensor: Lower Warning Limit


The degrees below the IR sensor setpoint that will trigger a system warning. Processing will
continue after user acknowledgment.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 666
Machine Configuration IR Sensor (TS1)

IR Sensor: Lower Error Limit


The degrees below the IR sensor setpoint that will terminate processing, setting the mode to
recovery idle.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 667
Machine Configuration Reticle Stage System

Reticle Stage System


This group of variables defines the operating parameters of the reticle stage.
The reticle stage system table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Reticle Stage System.
The reticle stage system table is shown in Figure 446.

Figure 446: Reticle Stage System (Composite of Multiple Screens)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 668
Machine Configuration Reticle Stage System

Settings

Reticle Type
Reticle type is determined by hardware configuration. This variable is for display purposes only,
and is taken from the setting specified in the Loader Setup tool, as shown in Figure 447.

Figure 447: Reticle Size Setting

Reticle Size Setting

Unity - 5x5 . . . The reticle stage is designed for 5 inch square reticles, 0.09 inches thick.

Unity - 6x6 . . . The reticle stage is designed for 6 inch square reticles, 0.25 inches thick.

(X,Y) Reticle Finger at Image Position 0


This pair of variables specifies the XY stage coordinates to unload the reticle, with the finger in
the center striker plate.

Reticle Center At Prism Center X Stage Pos


This variable specifies the X stage coordinate to place the reticle perfectly centered over the
prism, with the finger in the center striker plate. This value is determined at the factory.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 669
Machine Configuration Reticle Stage System

Y Reticle Finger at Image Position 1 with Setup Reticle


This variable specifies the Y stage coordinate to place the reticle finger properly engaged in the
center striker plate, when the reticle is at position 1. This value is determined at the factory.

Y Position of the Diddler Striker and Right Striker


This variable specifies the Y stage coordinates to place the reticle finger properly engaged in
the diddler and right striker slots. This value is determined at the factory.

Bridge Rotation (from AbsPos 18)(rad)


Bridge rotation is the skew between the reticle stage and the XY stage travel axis. It is
determined by one of several methods, historically with the absolute position test (AbsPos), and
more recently by the field butting test. If the stepper is configured for frosty OAT, “Frosty OAT
Theta” will be used instead of bridge rotation.

Maximum Allowed Reticle Skew


This is the maximum allowable reticle skew before “Action Taken on Excessive Reticle Skew” on
page 696 is considered.

X Offset Between Left DF & Right BF Xmasks

Y Offset Left DF to Right BF Xmasks

Y Offset Right DF to Left BF Xmasks


These three variables only apply to Star 100 tools.

Left Diddler Initialization


The X distance from the center reticle striker to initialize the left diddler. Determined at the
factory.

Right Diddler Initialization


The X distance from the center reticle striker to initialize the right diddler. Determined at the
factory.

Left Diddler Max. Travel from Center Striker Plate


The maximum X distance from the center reticle striker that the left diddler may be moved to.
Determined at the factory.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 670
Machine Configuration Reticle Stage System

Right Diddler Max. Travel from Center Striker Plate


The maximum X distance from the center reticle striker that the right diddler may be moved to.
Determined at the factory.

Center Striker to the Right Striker Distance


The X distance from the center reticle striker to the right striker. Determined at the factory.

Reticle X Scaling
Determined by the reticle repeatability test, this is an X scaling factor applied to all reticle
movements.

Safe Y Distance for Finger Up


This variable specifies the minimum Y stage position for finger up. Determined at the factory.

Reticle Load Pos Offset


The X difference in positions between unload (reticle to be removed from the slider) and load
(reticle to be placed on the slider) positions. This difference is necessary due to reticle transfer
design.

Left Diddler X Movement / Reticle Y Movement


This value specifies the number of mm the left diddler must be moved in X to move the reticle
1 mm in Y. It is determined by the diddler calibration test.

Right Diddler X Movement / Reticle Y Movement


This value specifies the number of mm the right diddler must be moved in X to move the reticle
1 mm in Y. It is determined by the diddler calibration test.

Eye Init Pos Left


This is the left MVS camera objective initialization position, in mm from the center of the slider.

Eye Init Pos Right


This is the right MVS camera objective initialization position, in mm from the center of the slider.

X‐Axis Pos for Image Pos 1


This variable is automatically updated during reticle alignment. It specifies the X stage position
to place the reticle’s field one centered over the prism, with the finger in the center striker plate.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 671
Machine Configuration Reticle Stage System

X‐Axis Pos for Image Pos 2


This variable is automatically updated during reticle alignment. It specifies the X stage position
to place the reticle’s field two centered over the prism, with the finger in the center striker plate.

Reticle Skew
This variable is automatically updated during the frosty OAT routine. It displays the measured
skew of the reticle field, relative to the X stage travel axis, in radians.

Current Left Diddler Position


The automatically updated X distance from the center reticle striker to the left diddler.

Current Right Diddler Position


The automatically updated X distance from the center reticle striker to the right diddler.

X Center Striker Position for Scanning


This variable applies only to Ultratech 1000 series steppers. The X stage position, with the finger
in the center striker, to begin a reticle X scan.

Y Center Striker Position for Scanning


This value should be the same as “Y Position of the Diddler Striker and Right Striker”.

Perform Frosty OAT Cal at


This setting is used to specify when the frosty OAT calibration will be performed during a job.

Field Change Only . . . Frosty OAT calibration will be performed when a field change is
made.

Process Program Load . . . Frosty OAT calibration will be performed when process
program data is loaded.

New Lot ID . . . Frosty OAT calibration will be performed when a new lot begins processing.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 672
Machine Configuration Storage Management

Storage Management
This menu allows the user to define parameters affecting data storage.
The storage management table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Storage Management.
The storage management table is shown in Figure 448.

Figure 448: Storage Management Table (Composite of Multiple Screens)

Settings

Days To Keep ... Data(base)


Refer to “Max Size ... Data(base)”.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 673
Machine Configuration Storage Management

Min Days To Keep ... Data(base)


Refer to “Max Size ... Data(base)”.

Max Size ... Data(base)


Normally, data files are kept for the number of days in the “Days To Keep ... Data(base)”
variable, and are then deleted. However, if the file size exceeds the number of bytes in this
setting, they will be deleted before the specified number of days. “Min Days To Keep ...
Data(base)” specifies the minimum number of days the files will be kept, regardless of size.

NOTE . . .
THE LOCATIONS OF THE DATA FILES ARE SPECIFIED BY THE SETUP TOOL “EDIT REGISTRY”

Data Types:
MachVar Backup . . . Backups of the machine variables.

Debug Log . . . Low-level debugging tool used by Ultratech.

Laser Metrology . . . (LSA tools only) Contains all metrology data recorded during
process.

Paperless . . . The machine’s print monitor output.

Performance Log . . . Low-level debugging tool used by Ultratech.

Performance Database . . . Used for throughput calculations.

System Ini Backup . . . Wafer stage configuration backup.

Data Collection Files . . . LSA tools - Contains raw information for high-speed data
collection. AP tools - Contains data from the closed-loop cooler (CLC).

CMOS Image . . . (LSA tools only) Contains wafer metrology camera images.

MVS Captured Images . . . (AP tools only) Contains MVS camera images.

Number of Days to Keep Critical File Backups


Specifies the number of days to store critical file backups. Any backups older than this setting
will be deleted.

Minimum number of Critical File Backups to Keep


Specifies the minimum number of critical file backups to retain, regardless of the setting in
“Number of Days to Keep Critical File Backups”.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 674
Machine Configuration Storage Management

Warning Size for Low Disk Space

Error Size for Low Disk Space


With the inclusion of data collection, it is possible to fill up the hard drive on the machine causing
the machine to stop operating. This feature monitors and prevents the machine from having a
failure due to low drive space. If disk capacity is low, files are cleaned up according to the
settings in Storage Management, as described above. If disk capacity is still low after the forced
cleanup, notification and warning alarms will be posted according to these two variables.
If the space available on any one of the partitions falls below the warning size, a warning alarm
will be posted every hour. If the machine is in Remote mode or running Job at the time of the
hourly check, only a notification is issued.
If the space available on any one of the partitions falls below the error size, an error alarm will
be posted.
The default values for these variables are: Warning size; 5GB, Range is 1 to 1000GB. Error Size;
1GB, Range is 1 to 1000GB.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 675
Machine Configuration Scheduled Maintenance > MVS Lamp Life Expi‐

Scheduled Maintenance > MVS Lamp Life Expiration


This group of variables manages the notification process for MVS lamp maintenance.
The MVS lamp life expiration table may be reached through the following path:
Setup Menu > Variables > Machine Configuration > Scheduled Maintenance > MVS Lamp
Life Expiration
The MVS lamp life expiration table is shown in Figure 449.

Figure 449: MVS Lamp Life Expiration

Settings

MVS Lamp Life Time


Specifies the number of days the MVS lamps are expected to last.

MVS Warning Time Before Lamp Life Expiration


Specifies the number of days before MVS Lamp Life Time that a warning to replace the lamps
should be posted.

MVS Error Time Before Lamp Life Expiration


Specifies the number of days before MVS Lamp Life Time that a system error will be generated,
terminating processing.

MVS Lamp Time Remaining Before Expiration Left


Indicates the number of days remaining on the left MVS lamp before MVS Lamp Life Time is
reached.

MVS Lamp Time Remaining Before Expiration Right


Indicates the number of days remaining on the right MVS lamp before MVS Lamp Life Time is
reached.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 676
Machine Configuration Scheduled Maintenance > MVS Lamp Life Expi‐

MVS Lamp Time Remaining Before Expiration Offaxis


Indicates the number of days remaining on the off-axis MVS lamp before MVS Lamp Life Time
is reached.

MVS Lamp Time Notification Frequency


Indicates the interval, in minutes between notification alarm postings after MVS Warning Time
Before Lamp Life Expiration has been reached.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 677
Machine ConfigurationScheduled Maintenance > Illuminator Lamp Life

Scheduled Maintenance > Illuminator Lamp Life


Expiration
This group of variables manages the notification process for mercury arc lamp maintenance.
The illuminator lamp life expiration table may be reached through the following path:
Setup Menu > Variables > Machine Configuration > Scheduled Maintenance > Illuminator
Lamp Life Expiration
The illuminator lamp life expiration table is shown in Figure 450.

Figure 450: Illuminator Lamp Life Expiration

Settings

Illuminator Lamp Life Time


Specifies the number of days the mercury arc lamps are expected to last.

Illuminator Warning Time Before Lamp Life Expiration


Specifies the number of days before Illuminator Lamp Life Time that a warning to replace the
lamps should be posted.

Illuminator Error Time Before Lamp Life Expiration


Specifies the number of days before Illuminator Lamp Life Time that a system error will be
generated, terminating processing.

Illuminator Lamp Time Remaining Before Expiration...


Indicates the number of days remaining on the specified illuminator lamp before Illuminator
Lamp Life Time is reached.

Illuminator Lamp Time Notification Frequency


Indicates the interval, in minutes between notification alarm postings after Illuminator Warning
Time Before Lamp Life Expiration has been reached.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 678
Machine Configuration Wafer Edge Protection System

Wafer Edge Protection System


This group of variables defines parameters for the wafer edge protection system.
The wafer edge protection system table is reached through the following path:
Setup Menu > Variables > Machine Configuration > Wafer Edge Protection System.
The wafer edge protection system table is shown in Figure 451.

Figure 451: Wafer Edge Protection System Table

Settings

Ring Lifter Motor Constant


Counts per millimeter of the ring lifter motor, normally 100.

Ring Lifter Safe Position


The position from home of the ring lifter motor to move with the ring attached to the safe
position. Determined by the Ring Lifter Position Setup tool.

Ring Lifter Up With Ring Loaded Position


The position the ring lifter motor moves to after the ring is loaded on the chuck. Determined by
the Ring Lifter Position Setup tool.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 679
Machine Configuration Wafer Edge Protection System

Ring Lifter Slow Up Position


When the ring is being lifted off the chuck, the ring lifter motor will move at slow speed from
the “Ring Lifter Down Position” to this position, and then at fast speed to the “Ring Lifter Safe
Position”. Determined by the Ring Lifter Position Setup tool.

Ring Lifter Down Position


The lifter motor position to release or grab the ring. Determined by the Ring Lifter Position Setup
tool.

(X,Y) Stage Position for Ring Loading


This is the XY stage position for ring delivery to the chuck. Determined by the Centering WEP
ring/wafer Setup tool.

WEP Unloading Y Offset


When the ring is being retrieved from the chuck, the XY stage will move to “(X,Y) Stage Position
for Ring Loading” plus this offset in Y. Determined by the Centering WEP ring/wafer Setup tool.

Pattern Filename for Key


This is the reticle key filename.

Pattern Filename for Left WEP Artifact


This is the filename for the WEP artifact target located on the left side of the WEP ring.

Pattern Filename for Right WEP Artifact


This is the filename for the WEP artifact target located on the right side of the WEP ring. Note
that this filename is not utilized, as no alignment to the right WEP artifact is made.

Illuminator Offset: Left WEP Artifact Align Site


The illuminator offset, relative to the IBC center, that gives the best score when capturing the
left WEP artifact with the on-axis left camera.

Focus Offset: Left WEP Artifact Align Site


The MVS camera focus offset, relative to the MVS auto-focus center, that gives the best score
when capturing the left WEP artifact with the on-axis left camera.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 680
Machine Configuration Wafer Edge Protection System

Correlation Limit for Left WEP Artifact


The minimum acceptable score, in percent, allowed when capturing the left WEP artifact with
the on-axis left camera.

Coarse Search Limit for Left WEP Artifact


Acceptance value (in percent) for the initial coarse search of the left WEP artifact.

Left WEP Artifact Position (X,Y)


The XY stage position when performing an image capture of the left WEP artifact with the on-
axis left camera.

Left WEP Artifact Position Theta


Defines the theta position of the left WEP artifact, in radians, relative to the theta initialization
position.

Actuator Position (Z1, Z2, Z3) : Left WEP Artifact


The positions of the three wafer chuck Z actuators while capturing the left WEP artifact with the
on-axis left camera. Determined by the Centering WEP ring/wafer Setup tool.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 681
Machine Configuration Wafer Handler System

Wafer Handler System


This group of variables defines the operating parameters of the wafer handler.
The wafer handler system table may be reached through the following path:
Setup Menu > Variables > Machine Configuration > Wafer Handler System
The wafer handler system table is shown in Figure 452.

Figure 452: Wafer Handler System

Settings

Wafer Loader Type


The tool supports the following wafer handler types:

No Loader . . . There is no loader attached to the tool. This setting is used during
manufacturing.

Genmark FOUP . . . This setting is used for either the FOUP or FOSB configuration of front-
end.

(X,Y) Chuck Aligned to the In Slot


This is the stage position during wafer loading and unloading.

Z Actuator Position for Wafer Pick Up


This is the Z stage position during wafer loading and unloading.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 682
Machine Configuration Wafer Stage System

Wafer Stage System


This group of variables defines the operating parameters of the wafer stage.
The wafer stage system table may be reached through the following path:
Setup Menu > Variables > Machine Configuration > Wafer Stage System
The wafer stage system table is shown in Figure 453.

Figure 453: Wafer Stage System (Composite of Multiple Screens)

Settings

Xform Stage Correction...


This set of four variables, automatically determined by the stage correction calibration test,
specify stage corrections to be used for each axis of stage movement. These are used for
blindstepping, if blindstep mode is set to Stage Calibration Only”. Refer to “Blindstep Mode” on
page 704.

Xform Field Butting...


This set of four variables, automatically determined by the field butting calibration test, specify
stage corrections to be used for each axis of stage movement. These are used for blindstepping,
if blindstep mode is set to “Field Butting”. Refer to “Blindstep Mode” on page 704.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 683
Machine Configuration Wafer Stage System

Xform Machine Matching...


This set of four variables, automatically determined by the machine matching calibration test,
specify stage corrections to be used for each axis of stage movement. These are used for
blindstepping, if blindstep mode is set to “Machine Matching”. Refer to “Blindstep Mode” on
page 704.

(X,Y) Stage Minimum Travel for Stepping Position on Wafer


This pair of variables define minimum travel software limits for the XY stage.

(X,Y) Stage Maximum Travel for Stepping Position on Wafer


This pair of variables define maximum travel software limits for the XY stage.

Safe Stage Y
The Y location where the chuck will not hit anything if fully raised.

Fine Theta Soft Limit Min


There are two theta actuators; one is a linear motor with an encoder (coarse), and the other is
piezo-actuated (fine). The piezo actuators have a limited travel range, so this setting specifies
a software limit, over which the coarse actuator will be used.

Fine Theta Soft Limit Max


This is the upper counterpart to “Fine Theta Soft Limit Min”.

(X,Y) Stage Rotation Center


These two variables specify the rotational center of the chuck.

(X,Y) Chuck Centered on Image Reference


The image reference is the projected 0,0 coordinate of the setup reticle, when it is aligned
properly. These two variables specify the XY stage coordinate of the chuck perfectly centered
under that point. They are determined by the zero wafer test, and are used for all image location
calculations.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 684
Machine Configuration Lifter Parameters

Lifter Parameters
This group of variables defines the operating parameters of the wafer lifter.
The lifter parameters table may be reached through the following path:
Setup Menu > Variables > Machine Configuration > Wafer Stage System > Lifter Parameters
The lifter parameters table is shown in Figure 454.

Figure 454: Lifter Parameters

Settings

Lifter Wafer Load Position (Pins Up)


This specifies the position of the lifter actuator, in mm from its home position, when a wafer is
being placed or removed at the chuck.

Lifter Intermediate Position (Just Above Chuck)


This specifies the position of the lifter motor, in mm from its home position, when a wafer is
suspended just above the chuck.

Lifter Down Intermediate Time


The time taken for lift pins to drop from the full up position to the intermediate position.

Lifter Down Wafer Contact Time


This specifies the time to lower the lifter from the intermediate position to the full down position.

Lifter Up Intermediate Time


This specifies the time to raise the lifter from the intermediate position to the full up position.

Lifter Up Wafer Contact Time


This specifies the time to raise the lifter from the full down position to the intermediate position.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 685
Machine Configuration Vacuum Parameters

Vacuum Parameters
This variable table is no longer used.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 686
Machine Configuration Wafer Processing Setup

Wafer Processing Setup


This group of variables defines operating parameters for wafer processing.
The wafer processing setup table may be reached through the following path:
Setup Menu > Variables > Machine Configuration > Wafer Processing Setup
The wafer processing setup table is shown in Figure 455.

Figure 455: Wafer Processing Setup

Settings

Failed Alignment Recovery Default

NOTE . . .
THIS SETTING MAY BE OVERRIDDEN BY THE PROCESS PROGRAM VARIABLE “Failed Alignment Recovery” on
page 732.

Skip . . . Skips fields when the machine cannot find a target on the wafer.

Expose . . . The machine will do a blind expose if it cannot find the targets. This will be a
best guess exposure.

MA, Skip . . . The machine will drop into manual assist mode when it fails to find the
targets. This allows the operator to manually assist in the alignment. If the targets can
not be found and “Soft Break” is pressed, the stepper will skip the field and proceed with
alignment of subsequent steps.

MA, Expose . . . The machine will drop into manual assist mode when it fails to find the
targets. This allows the operator to manually assist in the alignment. If the targets can
not be found and “Soft Break” is pressed, the stepper will blind expose the field and
proceed with alignment of subsequent steps.

2nd Pass Expose . . . Formerly known as enhanced blind step. When a step cannot be
aligned, the stepper temporarily skips this step and continues aligning subsequent steps.
After all alignments for this field are completed, the stepper will use successfully aligned
adjacent steps’ offsets to approximate the expose position of the unaligned step. If no
adjacent sites are available, the stepper will use the align-to-expose offsets from the
previous wafer for this step. Once all offsets have been calculated, the stepper will move
to the predicted location for the unaligned step and blind expose. This process is
repeated for each unaligned step in the current field.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 687
Machine Configuration Wafer Processing Setup

2nd Pass Align, Skip . . . When a step cannot be aligned, the stepper temporarily skips
this step and continues aligning subsequent steps. After all alignments for this field are
completed, the stepper will use successfully aligned adjacent steps’ offsets to
approximate the alignment target position of the unaligned step. A second alignment will
be attempted, using the calculated offsets. If the second alignment is not successful, the
step will be skipped.

2nd Pass Align, Expose . . . When a step cannot be aligned, the stepper temporarily skips
this step and continues aligning subsequent steps. After all alignments for this field are
completed, the stepper will use successfully aligned adjacent steps’ offsets to
approximate the alignment target position of the unaligned step. A second alignment will
be attempted, using the calculated offsets. If the second alignment is not successful, the
step will be blind exposed, using the offsets from the adjacent steps.

2nd Pass MA, Skip . . . When a step cannot be aligned, the stepper temporarily skips this
step and continues aligning subsequent steps. After all alignments for this field are
completed, the stepper will use successfully aligned adjacent steps’ offsets to
approximate the alignment target position of the unaligned step. A second alignment will
be attempted, using the calculated offsets. If the second alignment is not successful, the
stepper will drop into manual assist mode. This allows the operator to manually assist in
the alignment. If the targets can not be found and “Soft Break” is pressed, the stepper
will skip the step.

2nd Pass MA, Expose . . . When a step cannot be aligned, the stepper temporarily skips
this step and continues aligning subsequent steps. After all alignments for this field are
completed, the stepper will use successfully aligned adjacent steps’ offsets to
approximate the alignment target position of the unaligned step. A second alignment will
be attempted, using the calculated offsets. If the second alignment is not successful, the
stepper will drop into manual assist mode. This allows the operator to manually assist in
the alignment. If the targets can not be found and “Soft Break” is pressed, the step will
be blind exposed, using the offsets from the adjacent steps.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 688
3050 Zanker Rd, San Jose, CA 95134

Appendix B
User Configuration

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 689
User Configuration User Configuration Menu

User Configuration Menu


The user configuration menu is designed to allow the user to customize the appearance and
sequence of certain machine operations.
To select the user configuration menu from the main menu:
Press: Setup Menu . . . Shift-F5 using the keyboard
Press: Variables . . . F1 using the keyboard
Press: User Configuration . . . To expand the tree (see Figure 456)

Figure 456: User Configuration Menu

Press the buttons located at the left side of the screen to do the following:

Print . . . Sends the variables listed in the currently viewed table to the print monitor.

Restore . . . This restores the highlighted setting to the value it had when this table was
opened.

Restore All . . . Restores any changed settings within the current table to the values they
contained when this table was opened. In other words, to the values that reside in memory.

Load . . . Loads the type of variable file that is highlighted in the drop-down menu or that
contains the currently viewed table. For example, if “User Configuration” is highlighted or
the “Prompts” table is being viewed, this button will initiate a sequence to choose and load
a User Configuration variable file.

Save . . . Saves the type of variable file that is highlighted in the drop-down menu or that
contains the currently viewed table. For example, if “Process Program Data” is highlighted
or the “Process Program Settings” table is being viewed, this button will initiate a sequence
to save the process program data variables, as they reside in memory, including any values
changed in the currently viewed table, to a disk file.

Abort . . . Exits the currently viewed table without writing to memory any changes made in the
current table.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 690
User Configuration User Configuration Menu

Done . . . Exits the currently viewed table. If changes were made while the table was opened,
the user will be prompted to press Yes to write the changes to memory, or press No to
discard the changes (same as Abort), or press Cancel to return to the table, as shown in
Figure 457.

Figure 457: Settings Have Changed

NOTE . . .
THE PROMPT SHOWN IN Figure 457 WILL ALSO APPEAR IF THE USER HAS MADE ANY CHANGES TO A VIEWED
TABLE, AND THEN SWITCHES TO ANOTHER TABLE THROUGH THE DROP-DOWN MENU.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 691
User Configuration Clear User Configurations

Clear User Configurations


This option allows the user to return all user configuration settings to their Ultratech defaults.
The clear user configurations wizard is reached through the following step path:
Setup Menu > Variables > User Configuration > Clear User Configurations
The clear user configurations wizard is shown in Figure 458.

Figure 458: Clear User Configuration Wizard

5. At the clear user configuration wizard main menu:


Prompt: “Clear user configurations?”
Press: Yes
Press: Next> . . . The system returns to its default settings prior to configuration
changes, and returns to the variables menu.
~ or ~
Press: No
Press: Next> . . . The modified configuration settings are left unchanged, and the
user returns to the variables menu.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 692
User Configuration Load Process Program Config

Load Process Program Config


This group of settings defines conditions after loading a process program.
The load process program config table is reached through the following step path:
Setup Menu > Variables > User Configuration > Load Process Program Config
The load process program config table is shown in Figure 459.

Figure 459: Load Process Program Config Table

Settings

List Process Program Data to Printer


Prompt . . . (Default) Upon loading a process program, the system will ask the user if the
data is to be sent to the print monitor and waits for a brief moment for the user to chose.

Always . . . When loading process program data, the system will always list the process
program data to the print monitor.

Never . . . The system will go to the next task and never prompt the user to list the process
program data.

Prompt for Exposure


This is performed during reticle load. The possible menu options are:

Always . . . Before performing the next task the system will ask for an exposure change.

Never . . . (Default) The system will never ask if the user wishes to change the exposure
value.

Aperture check
This is performed during reticle load. The possible menu options are:

Always . . . The system will perform an aperture check before continuing with the task at
hand.

Never . . . (Default) The system will never do an aperture check before performing a task.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 693
User Configuration Load Process Program Config

Use Loaded Process Program As Default


Yes . . . (Default) When creating a job, the last loaded process program will appear in the
“Step 1: Select Process Program” field.

No . . . When creating a job, the “Step 1: Select Process Program” field will be blank.

Reload Process Program If Modified Locally


Never . . . If a process program file is loaded and subsequently edited through the variables
table, the changed file can be run if a job is started with the same named process
program file. This setting will allow the changes to be run for development purposes and
will not reload the file.

Always . . . If a process program file is loaded and subsequently edited through the
variables table, or modified through some other means, the system will reload the
process program file from the disk at the start of the job. This will prevent the possibility
of a production lot being run with modified process program parameters. An example of
process program modification by another means would be if autofocus is run upon reticle
load, this will cause the process program to be reloaded.

Buffer Process Program At Process Job Creation


This setting, if set to Yes, attaches the process program as it currently exists to the process job.
This allows the user to modify a process program and apply each modification to a particular
process job, without saving the process program as a uniquely named file each time.
The following is an example:
1. Create Process Job 1 with Process Program A.
2. Modify Process Program A.
3. Create Process Job 2 with Process Program A, the same process program name but different
parameters.
4. Process Job 1 will run with the original content of Process Program A.
5. Process Job 2 will run with the modified content of Process Program A.
One exception is with local jobs using process programs outside of the host folder:
If the local job uses a process program outside of the host folder, only the most recent
process program content will be used when the process job starts.
If this variable is set to No, the most recent process program content will be used for all process
jobs.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 694
User Configuration Load Reticle Config

Load Reticle Config


This menu allows the user to define how the stepper will automatically load the reticle and how
the system will exit this routine.
The load reticle config table is reached through the following step path:
Setup Menu > Variables > User Configuration > Load Reticle Config
The load reticle config table is shown in Figure 460.

Figure 460: Load Reticle Config Table

Settings

Change Reticle Offsets


The tool is set up with a reference test reticle. Each time a reticle is loaded, reticle position
offsets are calculated. The calculated offsets indicate how well the loaded reticle matches the
setup reticle. If all product reticles exhibit similar offsets, then using the previous reticle’s offsets
will speed up the loading process. However, if the product reticles do not exhibit similar offsets,
then using the previous reticle’s offsets may slow down the loading process, or possibly even
cause the reticle to be rejected. This setting determines how the previous reticle’s offsets are
used in the current reticle load. The possible menu options are:

Prompt . . . (Default) The system will ask the user if the reticle offsets are to be modified.

Zero . . . The system sets the reticle offsets to 0 (zero) before each reticle load.

Never . . . The system will not ask for reticle offsets to be changed and will load each reticle
using the previous reticle’s offsets.

Reticle Load Mode


The reticle load mode specifies the reticle alignment type. The possible menu options are:

MVS . . . The reticle will be aligned using the MVS cameras. This method is used in all Unity
litho steppers

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 695
User Configuration Load Reticle Config

Record Reticle Offsets


Prompt . . . (Default) The system will ask if the user wants reticle offsets recorded to the
process program data file.

Always . . . The system will automatically record reticle offsets to the process program data
file.

Never . . . The system will not record reticle offsets to the process program data file and
will proceed with the next task.

Record BF/DF Offsets


Bright field to dark field offsets are required in Ultratech Star 100 series steppers only, this
setting should therefore be set to “Never.”

Print Reticle Loading Offsets


Prompt . . . The system asks the user if reticle offsets are to be printed to the print monitor.

Always . . . The system will always print reticle loading offsets to the print monitor
whenever the reticle is loaded.

Never . . . (Default) The system will perform a reticle load without printing any reticle load
offsets.

Simulate Specified # of Reticle Fields


Yes . . . Process program data files support up to seven fields per reticle. The typical reticle
has two fields. Using this option, if six reticle fields are specified by process program
data, when using a two-field reticle, field one data can contain one set of parameters for
field 1, field three data can contain another set of parameters for the same field, and
field 5 data can contain a third set of parameters for field 1. In this example, field two,
four, and six data could all be applied individually to field 2.

No . . . (Default) Only process program data for the physically present fields will be utilized.

Action Taken on Excessive Reticle Skew


Warn Only . . . If the reticle skew exceeds the maximum allowed reticle skew, the computer
will display excessive reticle skew message to the operator and continue to load reticle.

Unload . . . (Default) It will reset the reticle slider parameters and unload the reticle after
maximum reticle skew has been reached.

Skip Fiducial Verification Question


This setting does not apply in AP tools.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 696
User Configuration Load Reticle Config

Skip Unload Reticle Question


When the reticle stage tool “Load/Unload Reticle” is used, and a reticle is loaded, setting this
feature to “Yes” will require a verification of the action to unload the reticle. Setting this feature
to “No” will bypass the question, and unload the reticle if one is present.

Reticle Load Verification for BF and DF Loads


This setting does not apply in AP tools.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 697
User Configuration Misc Config Page 1

Misc Config Page 1


This menu allows a variety of configurations to be set on the stepper.
The misc config page 1 table is reached through the following step path:
Setup Menu > Variables > User Configuration > Misc Config Page 1
The misc config page 1 table is shown in Figure 461.

Figure 461: Misc Config Page 1 Table

Settings

Restrict Modification of Exposure Energy


Unlocked . . . This is the default setting of the stepper and allows the user to modify the
exposure energy value.

Locked . . . No changes may be made to the exposure energy.

Exposure Change Tolerance


Sets the allowable percentage of exposure time increase, above which the “Lamp Variation
Alarm” will be displayed. Also may be set during exposure calibration. If set to 0 (zero), this
feature is disabled. Refer to “Lamp Reference Time For Test Exposure” on page 653.

Lamp Variation Alarm Display


Disabled . . . This is the default. The system will not display the lamp variation alarm on
the monitor.

Enabled . . . The stepper will display an alarm on the monitor when the “Exposure Change
Tolerance” has been reached.

Unprocessed Wafer Alarm Display


If a wafer that has not been processed is unloaded from the processing chuck, this setting can
cause a message to appear, stating that the wafer has not been processed.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 698
User Configuration Misc Config Page 1

Disabled . . . This is the default configuration of the stepper.

Enabled . . . The computer will display an error message on the screen when a wafer has
not been exposed.

Login Screen Requirement


Password Disabled . . . (Default) The user will not have to login (other than the Windows
login) to operate the stepper.

Password Enabled . . . A user name and password is required to be entered to operate


the stepper. Refer to “User Login Management” on page 115.

MVS Stage Move (Small, Medium, Large)


These three variables set the values of the three buttons at the bottom of the “Motors” block,
in manual assist mode, when “MVS Status” is active.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 699
User Configuration Misc Config Page 2

Misc Config Page 2


The misc config page 2 table is reached through the following step path:
Setup Menu > Variables > User Configuration > Misc Config Page 2
The misc config page 2 table is shown in Figure 462.

Figure 462: Misc Config Page 2 Table

Settings

Reticle ID Verify Active


Yes . . . This asks the user to type in the reticle ID code. The code must match the code
stored in process program data or the reticle cannot be loaded.

No . . . (Default) No reticle ID verification is required.

Make Reticle ID String Case Sensitive


Yes . . . This will make “Reticle ID Verify Active” case sensitive.

No . . . (Default)

Pre‐Alignment Tolerance for MVS Stage Settling


The default stage settling range for MVS align is 120 nm (0.00012 mm), meaning that the stage
must be verified to be within 120 nm of its desired position before MVS capture can occur. This
value may be changed, by entering the desired range in this field. A larger range may be used
if overlay accuracy is less critical (increasing throughput). A smaller range may be used if overlay
accuracy is more critical (decreasing throughput). If this value is set to 0 (zero), the default of
120 nm will be used.

Disable Process Program Data Load Warnings


There are different revisions of process program data, and not all of the settings are the same
between versions. If an older revision of process program data is loaded, some of the settings
may no longer apply, and some may be missing (defaults will be used in this case). This would
normally result in a notification message. This option specifies how these notifications are
handled.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 700
User Configuration Misc Config Page 2

No . . . (Default) If there are any parameters, expected by the stepper, that are not loaded
from process program data, a notification message will be sent to the print monitor and
the host.

Yes . . . No notification message will be sent.

Enable Process Program Time Stamp


Yes . . . A date/time stamp will be stored in the Process Program whenever that Process
Program is modified.

No . . . No date/time stamp will be stored in the Process Program.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 701
User Configuration Process Wafer Configuration

Process Wafer Configuration


This menu allows the user to define parameters affecting wafer processing.
The process wafer configuration table is reached through the following step path:
Setup Menu > Variables > User Configuration > Process Wafer Configuration
The process wafer configuration table is shown in Figure 463.

Figure 463: Process Wafer Configuration Table

Settings

Zero Machine Offsets


On PP Load . . . Machine offsets are zeroed when a process program is loaded by either
the host or user. Also, if a change is made to any User Configuration or Machine Variable
setting when a Process Program is running or when the system is idle, this will invalidate
the current Process Program, forcing it to reload. Therefore, do not change any User
Configuration or Machine Variable settings while a Process Program is running or when
the system is idle if Zero Machine Offsets is set to “On PP Load”.

Manual Button Press . . . Machine offsets and the “Wafers Processed” counter seen in the
print monitor are zeroed by pressing “Zero Offsets” from the main menu.

On New Lot ID . . . Machine offsets and the “Wafers Processed” counter seen in the print
monitor are zeroed before each new lot is processed.

EXIT wafer I/O if no align


Yes . . . The system will exit the wafer I/O function if the “no align” message is given.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 702
User Configuration Process Wafer Configuration

No . . . (Default) The stepper will not exit the wafer I/O function if the system gets a “no
align” flag.

Focus Offset for Alignment


This an MVS option that is used to enable the use of one focus offset during alignment, while
using a different focus offset for exposures. This option must be enabled to utilize the “Z chuck
move” feature in manual assist mode.

Enabled . . . As described above.

Disabled . . . (Default) The system will perform alignment using the exposure focus offset.

Auto Frosty OAT: Number of wafers before Frosty OAT Cal


This value controls the number of wafers between frosty OAT calibrations. Entering 0 (zero) will
disable this feature.

Expose Prealign Site During Step Loop


Disabled . . . (Default) The system will expose the prealign site immediately after prealign,
regardless of its step number.

Enabled . . . The prealign site will not be exposed immediately after prealign, but will be
aligned and exposed in step number sequence.

Step Wafer Using Only Last Aligned Step Info


This setting applies to Star 100 rowbar machines only.

Delay Before Align


This will apply a time delay, in milliseconds, before alignment scans are performed. Used to
diagnose stage settling problems.

Global Align
Always . . . A mechanical align will be performed on every wafer during a site-by-site or
EGA runmode.

Never . . . A mechanical align will not be performed, except during blindstep.

1st Wafer + PA Fail . . . A mechanical align will be performed only on the first wafer, or
on any wafer where there is a prealign failure, during a site-by-site or EGA runmode.

On PA Fail . . . A mechanical align will only be performed when there is a prealign failure,
during a site-by-site or EGA runmode.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 703
User Configuration Process Wafer Configuration

Mechanical Align
Enabled . . . The system will perform a mechanical align, as defined in “Global Align”.

Disabled . . . (Default) Mechanical align will be disabled, except during blindstep.

RM#1 Field Order Exposure


This setting specifies the reticle field order in blindstep mode. It may be overridden by process
program data, refer to “RM#1 Field Order Exposure” on page 719.

Normal . . . (Default) The system processes odd numbered wafers in ascending field order
and even numbered wafers in descending field order (to reduce field changes and to
save time).

Forward . . . The system will process all wafers in an ascending field order.

Reverse . . . The system will process all wafers in a descending field order.

RM#2 Field Order Exposure


This setting specifies the reticle field order in site-by-site and EGA modes. It may be overridden
by process program data, refer to “RM#2 Field Order Exposure” on page 720.
Refer to “RM#1 Field Order Exposure” above for the definition of the settings.

Blindstep Mode
This option selects the type of stage grid correction that will be used by the stepper during
blindstep. For further information on stage grid matching, refer to “Stage Grid Management” in
the Diagnostics chapter of the Unity Litho Series Products Preventive Maintenance Manual.

Stage Calibration Only . . . This option will use the results of calibrating the stepper with
a known grid. That grid may have been either the absolute position plate, or a reference
wafer.

Field Butting . . . This option will use the results of field butting calibration corrections to
the stage grid. The calibration uses the results from a blind stepped wafer, that was
created and measured on this stepper. Corrections were applied to the stage grid to
ensure optimum field-to-field butting during blindstep.

Machine Matching . . . This option will use the results of machine matching calibration
corrections to the stage grid. The calibration uses a wafer created on another machine
as a reference, and corrects the blindstep grid of this stepper to emulate the blindstep
grid of the reference wafer.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 704
User Configuration Process Wafer Configuration

MVS Alignment Tolerance


This specifies the unverified alignment error limit, meaning that the MVS system must verify the
reticle key-to-wafer target placement to be within this range, or a stage adjustment and re-
capture must occur. If the original capture reports an error less than this amount, a stage
correction is performed, without subsequent re-capture, and the exposure is made. This value
may be changed, by entering the desired range in this field. A larger range may be used if
overlay accuracy is less critical (increasing throughput). A smaller range may be used if overlay
accuracy is more critical (decreasing throughput). If this value is set to 0 (zero), alignment
capture will occur, but the system will not report a successful alignment.

MVS Align Iterations


This variable allows the user to specify the number of MVS captures that are performed per site.
Setting this value to more than 1 may increase overlay accuracy, at the expense of throughput.
If this value is set to 0 (zero), the default of 1 capture per site will be made, unless the detected
alignment error exceeds “MVS Alignment Tolerance”.

MVS Align Iterations Frosty OAT


This variable allows the user to specify the number of MVS captures that are performed during
the frosty OAT sequence. Setting this value to more than 1 may increase overlay accuracy, at
the expense of throughput. If this value is set to 0 (zero), the default of 1 capture per frosty
OAT will be made, unless the detected alignment error exceeds “MVS Alignment Tolerance”.

On Auto Focus Failure


This variable allows the user to specify the action to be taken when the MVS autofocus routine
fails.

Use Original Value . . . The existing MVS focus settings will be retained.

Manual Assist . . . Automatic entry into Manual Assist mode will occur if MVS autofocus
routine fails.

On Auto IBC Failure


This variable allows the user to specify the action to be taken when the MVS IBC (Illumination
Brightness and Contrast) routine fails.

Use Original Value . . . The existing MVS IBC settings will be retained.

Manual Assist . . . Automatic entry into Manual Assist mode will occur if IBC calibration
fails.

Skip Auto Illumination During Auto IBC


This variable allows the user to specify if MVS auto illumination is included in the IBC calibration.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 705
User Configuration Process Wafer Configuration

False (default) . . . MVS auto illumination will be included in the IBC calibration.

True . . . MVS auto illumination will be excluded from the IBC calibration.

Rerun Auto Focus On Reloading Recipe


This variable allows the user to specify if MVS autofocus should be rerun if the recipe (process
program) is reloaded.

False (default) . . . MVS autofocus will not be performed as the result of the recipe
(process program) being reloaded.

True . . . MVS autofocus will be run if the recipe (process program) is reloaded.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 706
User Configuration Prompts

Prompts
This table summarizes the prompt controls described above.
The prompts table is reached through the following step path:
Setup Menu > Variables > User Configuration > Prompts
The prompts table is shown in Figure 464.

Figure 464: Prompts Table (Composite of Multiple Screens)

Settings

Login Screen Requirement


Refer to “Login Screen Requirement” on page 699.

Prompt for Exposure


Refer to “Prompt for Exposure” on page 693.

Restrict Modification of Exposure Energy


Refer to “Restrict Modification of Exposure Energy” on page 698.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 707
User Configuration Prompts

Exposure Change Tolerance


Refer to “Exposure Change Tolerance” on page 698.

Auto Calibrate Actinic Shutter


This function allows the stepper to calibrate the actinic shutter delay time whenever deviation
from the stored value is detected. Refer to “Actinic Shutter Settle Duration” on page 653.

Disabled . . . Automatic actinic shutter calibration is disabled.

Enabled . . . Automatic actinic shutter calibration is enabled.

Lamp Variation Alarm Display


Refer to “Lamp Variation Alarm Display” on page 698.

List Process Program Data to Printer


Refer to “List Process Program Data to Printer” on page 693.

Reticle ID Verify Active


Refer to “Reticle ID Verify Active” on page 700.

Skip Unload Reticle Question


Refer to “Skip Unload Reticle Question” on page 697.

Simulate Specified # of Reticle Fields


Refer to “Simulate Specified # of Reticle Fields” on page 696.

Reticle Load Mode


Refer to “Reticle Load Mode” on page 695.

Change Reticle Offsets


Refer to “Change Reticle Offsets” on page 695.

Record Reticle Offsets


Refer to “Record Reticle Offsets” on page 696.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 708
User Configuration Prompts

Skip Fiducial Verification Question


Refer to “Skip Fiducial Verification Question” on page 696.

Record BF/DF Offsets


Refer to “Record BF/DF Offsets” on page 696.

Print Reticle Loading Offsets


Refer to “Print Reticle Loading Offsets” on page 696.

Action Taken on Excessive Reticle Skew


Refer to “Action Taken on Excessive Reticle Skew” on page 696.

Disable Process Program Data Load Warnings


Refer to “Disable Process Program Data Load Warnings” on page 700.

Zero Machine Offsets


Refer to “Zero Machine Offsets” on page 702.

With OAT Align


This setting applies to Star 100 steppers only.

EXIT wafer I/O if no align


Refer to “EXIT wafer I/O if no align” on page 702.

Unprocessed Wafer Alarm Display


Refer to “Unprocessed Wafer Alarm Display” on page 698.

Abort Confirmation Required


False . . . (Default) Normally, the on-screen ABORT button is active at all times and if
pressed, either intentionally or by accident, all operations cease immediately, and the
wafer under process is rejected. The current control job and all of its process jobs will
be removed from the queue.

True . . . This setting causes a confirmation message to appear after the on-screen ABORT
button is pressed, in the form: Are you sure you want to abort? The user will have the
option to respond with either a “Yes” or a “No”.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 709
User Configuration Prompts

Enable Process Program Saving During Job Execution


No . . . Process programs cannot be saved while a job is running from the Manual Assist
Variables menu. The “Save” button on the variables screen is disabled.

Yes . . . This setting enables the “Save” button and lets the user save process programs
while a job is running from the Manual Assist Variables menu.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 710
3050 Zanker Rd, San Jose, CA 95134

Appendix C
Process Programs

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 711
Process Programs Process Program Data

Process Program Data


Process program data files are created and edited using Ultratech’s Atlas software. Each process
program data file contains information for one layer of a process. This data is used by the
stepper operating software to accurately project the reticle fields onto the wafer.
It may be useful to serialize a particular machine or machines in a fab if it is desired to save
process layer align offsets and other machine-specific values. To serialize a machine, enter a
value between 1 and 50 into variable “Customer Serial Number” on page 655. Once a machine
is serialized, the Z variables for that process program data can be saved to disk. When process
program data is loaded, the machine specific variables will also be loaded.

Figure 465: Process Program Variables Drop-Down List (Composite of Multiple Screens)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 712
Process Programs AFAS Data

AFAS Data
This table specifies the coordinates of the AFAS patterns on the Ultratech test reticle.
The AFAS data table is reached through the following step path:
Setup Menu > Variables > Process Program Data > AFAS Data
The AFAS data table is shown in Figure 466.

Figure 466: AFAS Data Table

Settings

AFAS Key 1 Position ‐ (X,Y)


The coordinates of AFAS pattern 1 on the reticle.

AFAS Key 2 Position ‐ (X,Y)


The coordinates of AFAS pattern 2 on the reticle.

AFAS Key 3 Position ‐ (X,Y)


The coordinates of AFAS pattern 3 on the reticle.

AFAS Key 4 Position ‐ (X,Y)


The coordinates of AFAS pattern 4 on the reticle.

AFAS Key 5 Position ‐ (X,Y)


The coordinates of AFAS pattern 5 on the reticle.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 713
Process Programs AFAS Data

AFAS Key 6 Position ‐ (X,Y)


The coordinates of AFAS pattern 6 on the reticle.

AFAS Key Primary Line Pitch


The distance between the AFAS pattern lines, in mm (0.05 mm).

AFAS Key Secondary Line Pitch


This variable is reserved for multiple-line AFAS patterns, and is currently not used.

AFAS Key Line Width


The width of the AFAS pattern lines, in mm (0.0075 mm).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 714
Process Programs Change Field Locations

Change Field Locations


This option allows the user to offset all images of a specific field, in X and Y, relative to wafer
center.
1. The change field locations wizard is reached through the following step path:
Setup Menu > Variables > Process Program Data > Change Field Locations
2. The first screen of the change field locations wizard appears, as shown in Figure 467.

Figure 467: Select Field to Offset

Select: Desired field . . . from the list


Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 715
Process Programs Change Field Locations

3. The second screen of the change field locations wizard appears, as shown in Figure 468.

Figure 468: Enter Offsets

Type: <X offset in mm> . . . into the Offset X field


Press: Tab . . . key on keyboard to advance to the next entry field
Type: <Y offset in mm> . . . into the Offset Y field
Press: Next >
4. All images of the selected field will be offset.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 716
Process Programs Configuration

Configuration
This table specifies image and wafer size parameters.
The configuration table is reached through the following step path:
Setup Menu > Variables > Process Program Data > Configuration
The configuration table is shown below.

Figure 469: Process Program Data Configuration

Settings

(X,Y) Image Step Size


These two variables, one each for X and Y, specify the dimensions of the stepping pattern, in
mm.

X Stacking Distance
Specifies the distance that a row of images may be shifted, while the scribe lines are kept
aligned. The stacking distance is usually specified as the distance in X between vertical scribe
line centers. Caution is advisable, as any error in the stacking distance will result in non-aligned
columns of chips, making them impossible to saw without a considerable yield loss.

Num Fields Per Reticle


Number of fields on the reticle, normally 2, but up to 7 are allowed.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 717
Process Programs Configuration

Ret Key To Ctr Error


The right reticle fiducial’s placement in X is critical. Ultratech specifies the X distance from the
center of the reticle fiducial to the center of the reticle as 51.852 mm for 5x5 reticles and at
64.200 for 6x6 reticles. If there was some error during reticle manufacturing, the offset from
the specification is entered here.

Wafer Size (diam in mm)


In general the tool supports the following standard wafer sizes: 150 mm, 200 mm and 300 mm.

Wafer Type
When process program data is loaded, the wafer type is compared to the machine configuration.
If they differ, the user is warned that “Process program data wafer type does not match machine
configuration!”. This warning is printed along with a line that shows the discrepancy. Also, the
wafer plot displayed on the screen will be drawn depending on the machine configuration.

Machine Default . . . The setting in “Wafer Type” on page 621 is used.

Round w/Flat . . . The wafers being processed are round with a major flat. They may also
contain a minor flat.

Round w/Notch . . . The wafers being processed are round with a notch.

Wafer Rotation Angle


Normally set to 0 (zero) degrees, wafer rotation angle may be set to any angle between -180
and 180 degrees. This setting specifies the rotation of the wafer on the chuck. Zero degrees is
with the wafer notch facing the front of the stepper. A setting of 90 degrees would place the
notch to the right side of the stepper (CCW rotation), while -90 degrees would place the notch
to the left side (CW rotation).

Wafer Flat Length


This setting only applies if Wafer Type is set to Round w/Flat. The linear length of the wafer
flat, in mm.

Left Flat Target To Flat Center Distance

Right Flat Target To Flat Center Distance


These two variables specify the distance from the MVS flat align targets to the edge of the flat,
for use during MVS flat alignment.

Wafer Edge Process Type


This option allows the user to select the wafer edge process type.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 718
Process Programs Configuration

None . . . No wafer edge exposure, at the prealigner, will be made.

Exposure . . . Wafer edge exposure, at the prealigner, will be made.

Protection . . . Wafer edge protection ring system will be used.

Exposure and Protection . . . Wafer edge exposure, at the prealigner, will be made and
the wafer edge protection ring system will be used.

Auto Aperture Position

NOTE . . .
THIS VARIABLE MAY BE OVERRIDDEN BY THE MACHINE VARIABLE “Auto Aperture Position Override” on
page 620. THE MACHINE VARIABLE “Auto Aperture Position Override” on page 620 IS DEFAULTED TO
“USE PROCESS PROGRAM”. ALSO, IF IT IS SET TO “AUTO SELECT - OVERRIDE PP”, IT WILL OVERRIDE THE PP
VARIABLE Auto Aperture Position ONLY IF THE PP VARIABLE IS NOT SET TO Use Step Data. IN OTHER
WORDS, IF THE PP VARIABLE IS SET TO Use Step Data, THE APERTURE POSITION DEFINED IN STEP DATA IS
ALWAYS USED FOR WAFER EXPOSURE NO MATTER HOW “Auto Aperture Position Override” on page 620 IS
SET.

Use Step Data . . . the aperture position set in Step Specific Process Program Data will be
used. Refer to “Change Auto Aperture Position” on page 822.

Auto Selection . . . selection of no aperture, large aperture or small aperture will be made
based on the above settings for (X,Y) Image Step Size.

No Aperture . . . No exposure aperture will be used.

Large Aperture . . . The large exposure aperture will be used.

Small Aperture . . . The small exposure aperture will be used.


As an option, step specific aperture positions can be defined. See “Step Specific Process
Program Data (Edit Wafer Map)” on page 821.

RM#1 Field Order Exposure


This setting specifies the reticle field order in blindstep mode.

Use User Config . . . (Default) The setting defined in the user configuration variable
described in “RM#1 Field Order Exposure” on page 704 is used.

Normal . . . The system processes odd numbered wafers in ascending field order and even
numbered wafers in descending field order (to reduce field changes and to save time).

Forward . . . The system will process all wafers in an ascending field order.

Reverse . . . The system will process all wafers in a descending field order.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 719
Process Programs Configuration

RM#2 Field Order Exposure


This setting specifies the reticle field order in site-by-site and EGA modes.

Use User Config . . . (Default) The setting defined in the user configuration variable
described in “RM#2 Field Order Exposure” on page 704 is used.

Normal . . . The system processes odd numbered wafers in ascending field order and even
numbered wafers in descending field order (to reduce field changes and to save time).

Forward . . . The system will process all wafers in an ascending field order.

Reverse . . . The system will process all wafers in a descending field order.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 720
Process Programs EGA Setup

EGA Setup
In EGA Setup, changes are saved to process program data memory. If process program data is
loaded, the changes are voided.
1. The EGA setup wizard is reached through the following step path:
Setup Menu > Variables > Process Program Data > EGA Setup
2. The EGA Setup Wizard, as shown in Figure 470 appears.

Figure 470: EGA Site Setup Wizard

3. Select the field to be modified.


Prompt: “Please enter the Reticle Field that you wish to setup.”
Type: <#> . . . Where # represents the field to be modified.
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 721
Process Programs EGA Setup

4. Choose the function to be performed.

Figure 471: EGA Site Setup Functions

Screen: As shown in Figure 471.

Editing Primary and Secondary Sites


Select: Edit Primary
~ or ~
Select: Edit Secondary . . . Sites cannot be added here, must be added in Primary.

NOTE . . .
EDIT PRIMARY MUST BE MODIFIED FIRST OR OTHER OPTIONS WILL BE UNAVAILABLE.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 722
Process Programs EGA Setup

1. Edit primary sites as necessary with the following options (Figure 472):

Figure 472: Edit Primary Site Screen

„ ADD - Click on steps that are not already EGA sites.


„ DELETE - Drag Primary Sites off of the wafer.
„ MOVE - Drag Primary Sites to steps that are not already EGA Sites.

NOTE . . .
A SITE MAY BE SPECIFIED AS BOTH PRIMARY AND SECONDARY.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 723
Process Programs EGA Setup

2. If editing secondary sites, the editor only allows the user to move sites. As an example,
Figure 473 shows secondary site b5 moved up one field to image 1,11. If image 1,11 is
subsequently dragged off the wafer, image 1,12 will again resume its former roll as both
primary and secondary EGA site.

Figure 473: Edit Secondary

3. Exit editing session.


Press: Next >

Editing Minimum Number and Maximum Number


Required
Starting with process program data that is not configured for EGA, the min will be the equivalent
of the current EGA sites selected as indicated by the blue high-lighted sites (see “Editing Primary
and Secondary Sites” on page 722). The number selected for the ‘Minimum Number Required’
will become the lower input boundary for the maximum number desired. Also, the upper input
boundary for both limits should be the current number of EGA selected sites (sites that are
highlighted in blue).
For example: If the number of EGA selected sites is four and the number entered in ‘Minimum
Number Required’ is two, then two will be used as the lower boundary for the ‘Maximum Number
Desired’ option. The input range for ‘Maximum number Desired’ will be ‘2 to 4’ where two is the
current ‘Minimum Number Required’. Any attempt to enter a number lower than two or enter a
number higher than four will result with the prompt turning red. If this happens, enter the
appropriate amount in the block.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 724
Process Programs EGA Setup

1. To access ‘Edit Min number Required’:


Press: Setup Menu . . . From the Main Menu
Press: Ret Data Config
Select: EGA Setup . . . If not already highlighted, use the up and down arrows
located to the right of the title bar to select.

NOTE . . .
EGA SITES MUST BE SELECTED FIRST. SELECTED SITES WILL BE HIGHLIGHTED IN BLUE. SEE “Editing Primary
and Secondary Sites” on page 722.

Select: Edit Min Number Required


Press: Next
Do: Enter the minimum required limits in the input field as shown in Figure 474
below.

Figure 474: Minimum Number Required Input Screen

Press: Next
Select: Edit Max Number Desired
Press: Next

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 725
Process Programs EGA Setup

Do: Enter the desired limits (2 to 4) in the input fields as shown in Figure 475.

Figure 475: Maximum Number Desired Input Screen

Press: Next
Select: Exit & Save Changes
~ or ~
Press: Cancel . . . Discards changes done and returns to process program data
Configuration Menu.

Re‐Order
This function will allow the re-ordering of previously defined EGA sites. To enter the function:
Select: Re-Order
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 726
Process Programs EGA Setup

1. Re-Order the sites as instructed in the field, as shown in Figure 476.

Figure 476: EGA Site Re-Order

2. When the re-ordering is complete, the screen will revert back to the EGA Site Setup Main
Menu.

Exit The Wizard


When all changes have been made:
Select: Save Changes and Exit . . . Changes will be saved in process program
data.
Press: Next >
~ or ~
Press: Exit . . . Exits and discards all changes.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 727
Process Programs Exposure Parameters

Exposure Parameters
This screen is used to setup some of the exposure parameters.
The exposure parameters table is reached through the following step path:
Setup Menu > Variables > Process Program Data > Exposure Parameters
The exposure parameters table is shown below.

Figure 477: Exposure Parameters

Settings

Exposure Energy
This value represents the exposure for the specified layer in millijoules per square centimeter.
If it is set to a non-zero value, it will override the machine variable “Exposure Energy” on
page 651. The exposure energy can be used in three different ways.

Global . . . To use the same exposure level of exposure energy for all products, a global
value can be used. This requires that the process program Exposure Energy value be set to
zero, the Exposure Gradient Type be set to none and the field specific Exposure Energy be
set to zero. In this case, the value entered in machine variable “Exposure Energy” on
page 651 will be used for all exposures.

Process program specific . . . This requires that the process program Exposure Energy
value be set to a value other than zero, and the field specific Exposure Energy be set to zero.

Field specific . . . This requires that the field specific Exposure Energy be set to a value
other than zero.

Exposure Delay
Exposure delay is the minimum time between exposures in seconds.

Filter Changer Position


Machine Default . . . The machine default setting will be used. See “Filter Changer
Position” on page 627.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 728
Process Programs Exposure Parameters

GH . . . Only the G (436 nm) and H (405 nm) wavelengths, including any continuum
between, will be used to expose.

GHI . . . The entire Hg lamp available spectrum from 365 to 436 nm will be used to expose.

I-Line . . . Only the I (365 nm) wavelength will be used to expose.

Exposure Gradient Type


Exposure gradient changes the exposure for any given step based on the distance from the
step’s center to the wafer center. The exposure energy may be changed across the wafer from
left to right, from top to bottom, or radially from the center of the wafer to the edge. A step at
the center of the wafer will receive the nominal exposure energy. The exposure will be varied
by a user defined amount (percent change per centimeter from wafer center), refer to the
following feature, “Exposure Gradient”. Gradient exposures are most commonly used when thick
resist creates an uneven coating on the wafer.

None . . . With none selected, the stepper will operate normally with no exposure gradient.

Top to bottom . . . This option allows a gradient exposure from the top to the bottom of
the wafer. A value must be entered for the “Exposure Gradient”.

Left to right . . . This option allows a gradient exposure from the left to the right of the
wafer. A value must be entered for the “Exposure Gradient”.

Radial . . . This option allows a gradient exposure radially on the wafer. A value must be
entered for the “Exposure Gradient”.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 729
Process Programs Exposure Parameters

Exposure Gradient
Exposure gradient defines the value used during a gradient exposure. The units are in percent
change per cm. A positive value will increase the energy towards the bottom if “top to bottom”,
increase it to the right if “left to right”, or increase it toward the edge of the wafer if “radial”
(Figure 478). A negative exposure gradient will decrease the energy towards the bottom, right,
or edge of the wafer, respectively.

Figure 478: Exposure Gradient Layout

Top to Bottom
lower higher
exposure exposure

higher
nominal exposure higher
Radial
exposure exposure

higher
exposure

Left to Right

lower nominal higher


exposure exposure exposure

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 730
Process Programs Field # Specific Data Menu

Field # Specific Data Menu


This menu contains options which are different for each reticle field. The Field 2 Specific Data
Menu contains the same options as Field 1, but reflects the 2nd reticle field number rather than
the 1st. For example Z[5,2] instead of Z[5,1].
The field n specific data table is reached through the following step path:
Setup Menu > Variables > Process Program Data > Field n Specific Data
The field n specific data table is shown below.

Figure 479: Field 1 Specific Data Menu Screen (Composite of Multiple Screens)

Settings

(X,Y) Step Size


These two variables, one each for X and Y, specify the dimensions of the printed field, in mm.

(X,Y) Array Offset


These two variables, one each for X and Y, specify the entire array’s offset from wafer center, in
mm. Array offsets are used to fine-tune the positions of the individual images on the wafer, to
get more die to fit in the usable area of the wafer.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 731
Process Programs Field # Specific Data Menu

Runmode Type
This non-editable field displays the setting in “Runmode Option” on page 784.

EGA Grid Gross Misalignment Limit


This feature is intended to reject improper target captures from the EGA algorithm. In essence
it will take all the captured locations and create a linear transform similar to the way that the
normal EGA alignment does. It will then calculate the error for each step from the ideal linear
grid.
The site error, relative to the linear transform, is compared to the EGA Grid Gross Misalignment
Limit value. If the error is within the limit the algorithm takes no further action and the wafer
will be processed normally. Note that EGA Grid Gross Misalignment Limit = 0 implies that the
feature is disabled.
If the “Minimum Number Required” (refer to “Editing Minimum Number and Maximum Number
Required” on page 724) is greater than or equal to the “Number of EGA sites aligned” the
alignment will be considered to have failed and an alarm will be generated.
Assuming that we are allowed to eliminate a site the algorithm will find the site that once
eliminated will yield the lowest residual error. If this error is within the EGA Grid Gross
Misalignment Limit the alignment is considered to be successful and the wafer will be processed
normally. Otherwise the alignment will be considered to have failed and an alarm will be
generated. There is no attempt to remove more than 1 site as this is meant to be for flyer
rejection only and not as a filter for poor images.

Failed Alignment Recovery


This defines the stepper response used when it is unable to align to a target, when using align
and step mode. These settings do not apply when using EGA mode.

Skip . . . Skips fields when the machine cannot find a target on the wafer.

Expose . . . The machine will do a blind expose if it cannot find the targets. This will be a
best guess exposure.

MA, Skip . . . The machine will drop into manual assist mode when it fails to find the
targets. This allows the operator to manually assist in the alignment. If the targets can
not be found and “Soft Break” is pressed, the stepper will skip the field and proceed with
alignment of subsequent steps.

MA, Expose . . . The machine will drop into manual assist mode when it fails to find the
targets. This allows the operator to manually assist in the alignment. If the targets can
not be found and “Soft Break” is pressed, the stepper will blind expose the field and
proceed with alignment of subsequent steps.

2nd Pass Expose . . . Formerly known as enhanced blind step. When a step cannot be
aligned, the stepper temporarily skips this step and continues aligning subsequent steps.
After all alignments for this field are completed, the stepper will use successfully aligned
adjacent steps’ offsets to approximate the expose position of the unaligned step. If no
adjacent sites are available, the stepper will use the align-to-expose offsets from the

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 732
Process Programs Field # Specific Data Menu

previous wafer for this step. Once all offsets have been calculated, the stepper will move
to the predicted location for the unaligned step and blind expose. This process is
repeated for each unaligned step in the current field.

2nd Pass Align, Skip . . . When a step cannot be aligned, the stepper temporarily skips
this step and continues aligning subsequent steps. After all alignments for this field are
completed, the stepper will use successfully aligned adjacent steps’ offsets to
approximate the alignment target position of the unaligned step. A second alignment will
be attempted, using the calculated offsets. If the second alignment is not successful, the
step will be skipped.

2nd Pass Align, Expose . . . When a step cannot be aligned, the stepper temporarily skips
this step and continues aligning subsequent steps. After all alignments for this field are
completed, the stepper will use successfully aligned adjacent steps’ offsets to
approximate the alignment target position of the unaligned step. A second alignment will
be attempted, using the calculated offsets. If the second alignment is not successful, the
step will be blind exposed, using the offsets from the adjacent steps.

2nd Pass MA, Skip . . . When a step cannot be aligned, the stepper temporarily skips this
step and continues aligning subsequent steps. After all alignments for this field are
completed, the stepper will use successfully aligned adjacent steps’ offsets to
approximate the alignment target position of the unaligned step. A second alignment will
be attempted, using the calculated offsets. If the second alignment is not successful, the
stepper will drop into manual assist mode. This allows the operator to manually assist in
the alignment. If the targets can not be found and “Soft Break” is pressed, the stepper
will skip the step.

2nd Pass MA, Expose . . . When a step cannot be aligned, the stepper temporarily skips
this step and continues aligning subsequent steps. After all alignments for this field are
completed, the stepper will use successfully aligned adjacent steps’ offsets to
approximate the alignment target position of the unaligned step. A second alignment will
be attempted, using the calculated offsets. If the second alignment is not successful, the
stepper will drop into manual assist mode. This allows the operator to manually assist in
the alignment. If the targets can not be found and “Soft Break” is pressed, the step will
be blind exposed, using the offsets from the adjacent steps.

Use Machine Variables . . . The setting defined in the machine variable “Failed Alignment
Recovery Default” on page 687 will be used in the event of an alignment failure.

Exposure Energy
This value represents the exposure for this field in millijoules per square centimeter. A non-zero
value in this field will override the value set in “Exposure Energy” on page 728.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 733
Process Programs Field # Specific Data Menu

Exposure Scaling Factor


The exposure scaling factor will be multiplied by the exposure energy to provide a modified
exposure for a given reticle field. For example, an exposure energy of 1000 mJ and an exposure
factor of 0.75 will result in an exposure of 750 mJ. This factor may be useful if a reticle has two
fields which require different exposure values for correct CDs. A zero value or a value of 1 will
result in no change to the exposure energy.

Skip Prealign
Yes . . . Prealign will be skipped on this field, and the stepper will attempt site-by-site or
EGA align (as defined).

No . . . Prealign will be performed on this field.

Prealign dY Limit
This value sets the maximum difference in Y (Theta) between the left eye and right eye targets
that must be obtained before prealign is successfully completed. The allowable range is 0.01 to
5 µm. This value is very process dependant. Where theta overlay accuracy is critical, values near
0.05 µm (5.0E-02) are typical. The value entered in the machine configuration variable Prealign
Tolerance Maximum, or the value set here, whichever is the smallest, will be used as the
tolerance. If the value set here overrides the value in machine configuration (see “Prealign
Tolerance Maximum” on page 605), that will be shown next to the description.

Focus Offset For Exposure


The focus offset for exposure (measured in µm) is used to change the distance between the
stepper focus air sensors and the wafer’s top surface. After normal focusing, this offset is added
to the focus position before aligning and exposing steps for a particular reticle field. The value
is determined by doing a focus exposure matrix with a given reticle. A positive offset moves the
wafer away from the air sensors, or downward. A negative offset moves the wafer upward,
closer to the air sensors. Layers with large step heights and/or thick resist are likely to need a
focus offset.

Focus Offset For Alignment


If the user configuration option “Focus Offset for Alignment” is enabled (see “Focus Offset for
Alignment” on page 703), this value will determine the focus offset during alignment, and the
“Focus Offset For Exposure” variable will set the focus offset only during exposure.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 734
Process Programs Field # Specific Data Menu

Focus Mode

Figure 480: Focus Mode Options

There are four focus mode options as follows:

Machine Default . . . If machine default is selected for the focus mode of a given field, the
true focus mode will be the same as the focus mode selected in the machine
configuration menu. Refer to “Focus Mode” on page 628. The machine focus mode
selected will be displayed in parenthesis next to “focus mode” for convenience.

Local Tilt . . . When local tilt is selected, the wafer tilt is corrected at each site. Tilt and Z-
axis will be adjusted for each site, with each Z actuator and sensor acting independently
of the others. Local tilt focus is advisable on all alignment layers where blind stepping is
not an issue. Local tilt correction also increases focus precision, with the wafer being
leveled at each site. This compensates for site specific surface variations. Local tilt may
effect throughput, due to the individual calculations and adjustments of the Z actuators
and sensors acting independently.

Global Tilt . . . When global tilt is selected, the wafer tilt is corrected at the wafer center.
After the initial tilt correction is made, as the wafer is focused at each site, the focus
error of the air sensors is averaged. The wafer will move up and down in the Z-axis
without changing the tilt. Global tilt is advisable for first layers due to an increase in
blind-stepping accuracy. When local tilt is used while blind-stepping, a 'flagpole effect'
in the theta stage design may induce wafer positioning errors in reference to the stage
position. We can use global tilt focus on first layers without a concern for site specific tilt
aberrations. The most uniform wafer surface exists before any imaging or processing has
taken place. Although a linear wafer tilt may exist, variations in wafer surface are, for all
practical purposes, negligible.

Global:No Step Sample . . . To save time for Unity run modes, this focus mode, Global:No
Step Sample, does not involve any time-consuming focus action at all. This mode will
keep the global-focus plane characteristics of the current wafer, then move any given
step to its alignment or exposure position with a Z-correction term obtained by using the
system's X-tilt and Y-tilt coefficients and the step's location with respect to the center of
the wafer.

Pre Map Focus


In certain cases, specifically row bar processing on the Star 100 tools, it may help throughput
to map focus of all the steps prior to doing the align and exposure.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 735
Process Programs Field # Specific Data Menu

Enabled . . . The stepper will map focus of all the steps prior to doing the align and
exposure.

Disabled (default) . . . Normal focus will be performed at all steps.

Runout Tolerance Limit


Range: 0.05 to 1000 µm
This value is used in prealign, it is the maximum allowable difference in X position alignment
between left and right eyes (X magnification).

Backup V Motor Option


Refer to “Backup Alignment Strategies” on page 780.

Reticle X‐Fiducial Error


This value is used when there was a manufacturing error during reticle creation which causes
this field’s keys, to be improperly positioned, in X, relative to the MVS field of view. This variable
is only used if there are multiple fields on the reticle, and the other field(s) do not exhibit the
same error.

MVS Flat Align Using On Axis Cameras


Enables or disables the use of MVS system in performing initial theta rotation of the wafer in
aligning to it the wafer’s major flat.

Theta Offset for Flat Align


Allowable value in radians of theta offset made by the theta motor before stepping a particular
field.

DY Tolerance Flat Align


The tolerance during wafer flat angle measurement during a mechanical align. This variable may
be overridden by the machine configuration variable “Flat Align DY Tolerance Override” on
page 607.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 736
Process Programs Field # Specific Data Menu

Reticle Field Location


This setting is needed for specifying the field positions of asymmetric reticle fields. Figure 481
shows an example for an asymmetric two-field reticle. Although this variable was created to
meet a requirement for the asymmetric reticle field for widefield tools, it will also be used in the
existing reticle field configuration. It is defined as the X offset of the field baseline center from
the centerline of the reticle, with positive X being to the right (with reticle chrome up).

Figure 481: Asymmetric Two-Field Reticle

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 737
Process Programs Field Align Site Data

Field Align Site Data


This menu contains options which are different for each defined align site (primary, secondary,
or tertiary) for each reticle field.
The field n (primary, secondary or tertiary) align site table is reached through the following step
path:
Setup Menu > Variables > Process Program Data > Field n Specific Data > Field n (Primary,
Secondary or Tertiary) AlignSite
The align site data table is shown in Figure 482.

Figure 482: Align Site Data Menu Screen (Composite of Multiple Screens)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 738
Process Programs Field Align Site Data

Settings

Alignment Type
Undefined . . . This is the default setting if the process program data does not contain a
setting for this option.

WAS . . . Wafer alignment system, available only on Ultratech Star 100 steppers.

MVS On-Axis . . . The normal MVS alignment method.

MVS Off-Axis . . . Available only on the Dual Side Alignment (DSA) stepper.

Pattern Filename Reticle


This is the reticle target filename.

Correlation Limit Reticle


Acceptance value (in percent) for the reticle target. For more information on limit values, refer
to “Patmax Scoring System” on page 332.

Coarse Search Limit Reticle


Acceptance value (in percent) for the initial coarse search of the reticle target. For more
information on limit values, refer to “Patmax Scoring System” on page 332.

Pattern Filename Left


Wafer pattern file name for the left MVS camera.

Correlation Limit Left


Wafer pattern acceptance value for the left MVS camera. For more information on limit values,
refer to “Patmax Scoring System” on page 332.

Coarse Search Limit Wafer Left


Acceptance value (in percent) for the initial coarse search of the left wafer target. For more
information on limit values, refer to “Patmax Scoring System” on page 332.

Pattern Filename Right


Wafer pattern file name for the right MVS camera.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 739
Process Programs Field Align Site Data

Correlation Limit Right


Wafer pattern acceptance value for the right MVS camera. For more information on limit values,
refer to “Patmax Scoring System” on page 332.

Coarse Search Limit Wafer Right


Acceptance value (in percent) for the initial coarse search of the right wafer target. For more
information on limit values, refer to “Patmax Scoring System” on page 332.

Illuminator Offset Left


Left camera illumination offset from the auto-illumination center value.

Illuminator Offset Right


Right camera illumination offset from the auto-illumination center value.

Focus Offset Left


Left camera focus offset, in µm, from the auto-focus center value.

Focus Offset Right


Right camera focus offset, in µm, from the auto-focus center value.

Brightness Offset Left


Left camera gain offset from the IBC center value.

Brightness Offset Right


Right camera gain offset from the IBC center value.

Contrast Offset Left


Left camera contrast offset from the IBC center value.

Contrast Offset Right


Right camera contrast offset from the IBC center value.

Offset Correction Left X and Y


These are field specific and target specific overlay corrections in X/Y on the left MVS camera.
These offsets are rarely needed or used. These are in addition to the machine variables “X On
Axis Overlay Offset” on page 611 and “Y On Axis Overlay Offset” on page 611.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 740
Process Programs Field Align Site Data

Offset Correction Right X and Y


These are field specific and target specific overlay corrections in X/Y on the right MVS camera.
These offsets are rarely needed or used. These are in addition to the “X On Axis Overlay Offset”
and “Y On Axis Overlay Offset” machine variables.

Search Strategy
Option to select the search algorithm, either Patmax or CNL.

Confidence Threshold
Editable only if Confidence is enabled. Confidence is used as an alternative for preventing
pattern miscapture. It works only when one pattern instance is expected to be in the field of
view. If two pattern instances are captured with very close scores, both of them are not actual
targets and are rejected. In other words no actual target exists in the field of view. The ones
captured are miscapturing. When clutter is turned off, the score received by instances tends to
be much higher. And thus some non-actual instances may be captured with an acceptance
threshold of 50%. Confidence is implemented to prevent this kind of thing from happening.
Confidence is computed by:
Confidence = (Score1 - Score2) / Score1
Where Score1 is the best score and Score2 is the second best score. If only one target is found,
confidence is 100% and search results are accepted. Any search result will be rejected if
confidence is less than the user specified confidence threshold. Confidence threshold is 15% by
default.

Confidence
Enabled (default) . . . Search with Confidence

Disabled . . . Confidence checking is disabled

Clutter
Another way to prevent miscapturing is computing the score with clutter considered (turned on).
Clutter represents features of an object that are present in a search image but not in the training
image: extraneous features. When the search algorithm is specified as PatMax, the user can
choose to ignore clutter when computing the score of an instance of the pattern in the search
image. If clutter is ignored, pattern instances receive the same score regardless of the presence
of extraneous features.

Disabled (Default) . . . Clutter is ignored.

Enabled . . . Clutter is factored into the target score.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 741
Process Programs Field Align Site Data

Asymmetrical Configuration
Disabled . . . Independent settings of the left and right MVS cameras is not allowed. One
key distance will be used for both. With this option disabled, the second page of this
table will appear as shown in Figure 483. When an asymmetrical system is disabled, the
right values are assigned to the corresponding left variables, and the table will only show
the right variables without the text “Right” in the description. Any changes made in by
users will apply to both left and right variables when the variable “Asymmetrical
Configuration” is disabled. Refer to Figure 484 for a graphical description of the
variables.

Figure 483: Page 2 of Table with Asymmetrical Configuration Disabled

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 742
Process Programs Field Align Site Data

Figure 484: Symmetrical Alignment System Variables

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 743
Process Programs Field Align Site Data

Enabled . . . Independent settings of the left and right MVS cameras is allowed. Each
camera can be set to its own key distance. With this option enabled, the second page of
this table will appear as shown in Figure 485. When an asymmetrical system is enabled,
separate values are allowed and displayed for both left and right eyes. Refer to
Figure 486 for a graphical description if the variables.

Figure 485: Page 2 of Table with Asymmetrical Configuration Enabled

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 744
Process Programs Field Align Site Data

Figure 486: Asymmetrical Alignment System Variables

Target Offset (Left or Right) (X or Y)


Target offsets are used when exposure will be in a different place than alignment. This is the
move distance from the alignment target to the expose position. The stepper aligns, then moves
the amounts specified by the alignment target offsets and exposes. This value can be set in
either Atlas or the Graphical Alignment Editor. Refer to “Targets” on page 793.

Image Reference To Key Distance (Left or Right) (X or Y)


The distance from the image reference point (0,0 of the setup reticle) to the reticle key in mm,
set in either Atlas or the Graphical Alignment Editor. Refer to “Reticle Keys” on page 791.

Key To Target Distance (Left or Right) (X or Y)


The distance from the reticle key to the wafer target in mm, set in either Atlas or the Graphical
Alignment Editor. Refer to “MVS Windows” on page 792.

Image Reference To Eye Distance (Left or Right) (X or Y)


The distance from the image reference point (0,0 of the setup reticle) to the center of the MVS
camera’s field of view, set in either Atlas or the Graphical Alignment Editor. Refer to “Reticle
Keys” on page 791.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 745
Process Programs Field n Temperature Sensor 1

Field n Temperature Sensor 1


This group of variables defines operating parameters of the closed-loop cooling system infrared
(IR) sensor. These settings are dependant upon the IR sensor monitoring enablement. Refer to
“IR Sensor Configuration” on page 666. A complete description of the operation of the closed-
loop cooling system is located at “Reticle/Prism Cooling System (Optional)” on page 445.
The field n temperature sensor 1 table is reached through the following step path:
Setup Menu > Variables > Process Program Data > Field n Specific Data > Field n
Temperature Sensor 1
The field n temperature sensor 1 table is shown in Figure 487.

Figure 487: Field 1 Temperature Sensor 1

Settings

IR Sensor Upper Warning Limit


The degrees above the IR sensor setpoint that will trigger a system warning. Processing will
continue after user acknowledgment. A non-zero value here will override the machine
configuration setting “IR Sensor: Upper Warning Limit” on page 666, assuming that “IR Sensor
Configuration” on page 666 is set to Enabled.

IR Sensor Upper Error Limit


The degrees above the IR sensor setpoint that will terminate processing, setting the mode to
recovery idle. A non-zero value here will override the machine configuration setting “IR Sensor:
Upper Error Limit” on page 666, assuming that “IR Sensor Configuration” on page 666 is set to
Enabled.

IR Sensor Lower Warning Limit


The degrees below the IR sensor setpoint that will trigger a system warning. Processing will
continue after user acknowledgment. A non-zero value here will override the machine
configuration setting “IR Sensor: Lower Warning Limit” on page 666, assuming that “IR Sensor
Configuration” on page 666 is set to Enabled.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 746
Process Programs Field n Temperature Sensor 1

IR Sensor Lower Error Limit


The degrees below the IR sensor setpoint that will terminate processing, setting the mode to
recovery idle. A non-zero value here will override the machine configuration setting “IR Sensor:
Lower Error Limit” on page 667, assuming that “IR Sensor Configuration” on page 666 is set to
Enabled.

IR Sensor Set Point


This specifies the desired temperature at the IR sensor. A non-zero value here will override the
machine configuration setting “IR Sensor: Set Point” on page 666, assuming that “IR Sensor
Configuration” on page 666 is set to Enabled.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 747
Process Programs Field Specific Process Program Data (Edit Wafer

Field Specific Process Program Data (Edit Wafer Map)


The field specific process program data (edit wafer map) wizard is reached through the following
path:
Setup Menu > Variables > Process Program Data > Field Specific Process Program Data (Edit
Wafer Map)
The field specific process program data (edit wafer map) menu is shown in Figure 488.

Figure 488: Field Specific Process Program Data (Edit Wafer Map) Wizard Menu

Change Field Number


Changing the field number is used when a primary field on the reticle needs to be changed. For
example, a reticle uses field 1 as the primary field. If field 1 becomes damaged or the reticle is
broken and replaced, and field 2 is now to be used for the primary field, the field number would
need to be changed.
1. To change field numbers using the step specific process program data wizard,
Select: Change Field Number . . . Use the up and down arrows on the right side
of menu to select this option.
Press: Next >
2. Select the field to be modified.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 748
Process Programs Field Specific Process Program Data (Edit Wafer

Press: “Field to be changed” . . . Using the touch screen interface, press the field
on the wafer pattern map (Figure 489).

Figure 489: Change Field Number Wizard

NOTE . . .
IF THE SELECTED FIELD CONTAINS EGA SITES, A MESSAGE WILL OCCUR AS SHOWN IN Figure 490.

Press: “Field Number to be used.” . . . Using the touch screen interface, select
and press option from pop up menu.
Press: Next > . . . Field changes made to process program data will automatically
be updated on wafer map.
~ or ~
Press: Exit . . . Discards changes

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 749
Process Programs Field Specific Process Program Data (Edit Wafer

3. The step specific process program data (edit wafer map) wizard menu screen appears.

Figure 490: Selected Field Contains EGA Sites

Blindstep an Entire Field


This option allows fields to be blindstepped or exposed without alignment.The user may wish
to blindstep an entire field for throughput considerations if alignment is not critical for a given
layer. Another reason for blindstepping is if there is a problem with a lot and there are no
alignment marks. Prealign could not be done in this case. To blindstep an entire field using the
field specific data wizard:
Select: Blindstep an entire Field . . . Use the up and down arrows located on the
right side of menu to highlight and select this option.
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 750
Process Programs Field Specific Process Program Data (Edit Wafer

Press: <Step to be changed> . . . Using the touch screen interface, press the
step on the wafer pattern map (Figure 491) below.

Figure 491: Blindstep an Entire Field Wizard

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 751
Process Programs Field Specific Process Program Data (Edit Wafer

NOTE . . .
IF THE SELECTED FIELD CONTAINS EGA SITES, A MESSAGE WILL OCCUR AS SHOWN IN Figure 492.

Figure 492: Field Contains EGA Sites

4. Use the touch screen interface to determine which sites are to be prealigned.
Press: Keep Prealign . . . First step of this field will be prealigned.
~ or ~
Press: Skip Prealign . . . Prealign will be skipped for this field.
Press: Next > . . . Field changes made to process program data will automatically
be updated on the wafer pattern map.
~ or ~
Press: Exit . . . Discards changes
5. The field specific process program data (edit wafer map) wizard menu screen appears.

Delete All EGA Sites for the Field


This function allows the user to clear all of the EGA sites for a selected reticle field.
To delete all EGA sites for an entire field using the field specific data wizard:
Select: Delete All EGA Sites For The Field . . . Use the up and down arrows
located on the right side of menu to highlight and select this option.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 752
Process Programs Field Specific Process Program Data (Edit Wafer

Press: Next >


Press: <A step in the field to be changed> . . . Using the touch screen
interface, press the step on the wafer pattern map (Figure 493) below.

Figure 493: Delete EGA Sites

Select: Keep EGA Sites . . . to retain the EGA sites


~ or ~
Select: Delete EGA Sites . . . to delete the EGA sites
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 753
Process Programs Focus

Focus
This table displays various items related to focus.
The focus table is reached through the following step path:
Setup Menu > Variables > Process Program Data > Focus
The focus table is shown in Figure 494.

Figure 494: Focus

Settings

Global Focus Method


Standard . . . Standard focus is the normal focus mode. When standard focus is used, the
substrate is normally centered under the air sensors using the A[1,*] position plus any
user specified offset as entered in stage offset for focus capture (refer to “(X, Y) Stage
Offset For Focus Capture” on page 638). Then all three air sensors are read and the
substrate is leveled to the focal plane. This mode is the normal configuration for the
system.

Grid Focus . . . Grid focus is normally used on small substrates where one air probe is used
instead of three air probes. The wafer is moved around and the Z-position of the wafer
is measured using one air probe at several points based on the setup. After completion
of the focus measurements, the X and Y tilt of the wafer is set for optimum focus. For
information on Grid Focus Parameters, refer to “Grid Focus Parameters”. For information
on its setup, refer to “Grid Focus Setup” on page 757.

Focus Sequence
This setting determines the order of focus application.

Global Only . . . The standard focus method will be used.

Global-Grid . . . The standard focus method will be used, followed by grid focus.

Global-Align-Grid . . . The standard focus method will be used before prealignment,


followed by grid focus after prealignment.

Global-Align-Global . . . The standard focus method will be used before prealignment,


followed by standard focus after prealignment.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 754
Process Programs Focus

Global-Align-Global-Grid . . . The standard focus method will be used before


prealignment, followed by standard focus and then grid focus after prealignment.

Safe Radius Move


This menu item determines whether or not safe radius moves will be performed at each site on
the wafer. “Safe Radius” defines a radius within which the three probes can be expected to
provide reliable readings. When a probe is outside this radius, it may be close to the wafer edge,
on an area cleared of resist, or completely off the edge of the wafer and unable to provide a
reliable reading. Enabling safe radius moves will cause a wafer move that brings all the probes
within the radius where a reliable focus is performed. Then with the focus system “locked” the
wafer is returned to the align/expose site.
At the align/expose site an additional “Z” correction may occur if “Flag for Image Tilt
Corrections” on page 629 is enabled.

Enabled . . . This will ensure that all three air sensors are within the area specified by safe
radius before focus is sensed.

Disabled . . . Safe radius moves will not be performed before focus is sensed. Any air sensor
that is “off wafer” will not be used for the focus calculation.

Safe Radius
The distance in from the wafer’s edge that all three air probes have to be within, during a “Safe
Radius Move”.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 755
Process Programs Grid Focus Parameters

Grid Focus Parameters


Grid focus is used on small substrate when the system cannot use three air probes (standard
mode). It is also used when the system is performing the wafer Plane tilt measurement using
one air probe (Image Tilt test).
The grid focus parameters table is reached through the following step path:
Setup Menu > Variables > Process Program Data > Focus > Grid Focus Parameters
The grid focus parameters table is shown in Figure 495.

Figure 495: Grid Focus Parameters

Settings

Grid Focus Threshold


This variable is the linearity limit, in mm, of the sensor’s reading. The default value is 0.0075
mm (7.5 µm). In other words, a sensor’s reading within ± 7.5 µm is regarded as within the linear
range.

Grid Focus Rejection Percentage


Allowable percentage (%) for the failed points in grid focus. The default value is 25%.

Action if Grid Focus Beyond Threshold


Determines the action to be performed in the case the sensor’s reading is beyond the linear
range at a point. It can be either skipping that point or performing single point focus (SPF).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 756
Process Programs Grid Focus Setup

Grid Focus Setup


First, select Wafer View from the main or setup menu, then enter the grid focus setup routine
using this path:
Setup Menu > Variables > Process Program Data > Focus > Grid Focus Setup
The following screens show the sequence for Grid Focus Setup:

Figure 496: Grid Focus Setup Steps 1 and 2

Figure 497: Grid Focus Setup Steps 3 and 4

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 757
Process Programs Grid Focus Setup

Figure 498: Grid Focus Setup Step 5

Follow the instructions of the wizard to do the followings:


z Enter the X-offset and Y-offset of the grid points
z Enter the X-pitch and Y-pitch of the grid points
z Enter the sequence of the points – serpentine order or spiral order

Figure 499: Sequence of Points for Focus Grid

Serpentine Order Spiral Order

During creation of the grid points based on the offset and pitch, adjustments are made for the
following cases.
z If the point is outside the wafer safe radius, it will be moved onto the safe radius.
z If the distance between two adjacent points is less than the minimum allowable specified in
the machine variable, the second point will be removed from the grid.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 758
Process Programs Grid Focus Setup

The focus grid can be created for round wafers or square wafers determined by the process
program or machine variable. A half wafer or quarter wafer is simulated by a square wafer - the
largest square piece the half or quarter wafer can contain. See Figure 500 for a half and quarter
wafer.

Figure 500: Largest Square in Half and Quarter Wafers

Largest Square in Half Wafer Largest Square in Quarter Wafer

The created focus grid will be displayed on panel 2. Users may edit the existing grid points
loaded from the process program. An example focus grid is shown in Figure 501.

Figure 501: Focus Grid

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 759
Process Programs LR Focus Separation Setup

LR Focus Separation Setup


The LR Focus Separation specifies the X distance from the center of the wafer to the left and
right focal points for LR Focus.
The default value is one half of the X distance between the left and right air sensors. If this
value can be used, it can increase throughput by avoiding a safety stage drop to move the right
focal point to underneath the right air probe after a successful focus at the left focal point (as
the right focal point is in focal position already).
The grid focus parameters wizard is reached through the following path:
Setup Menu > Variables > Process Program Data > Focus > LR Focus Separation Setup
If no data has been previously entered, the GUI will display the default value as shown in
Figure 502, waiting for an input.

Figure 502: LR Focus Separation GUI - Default

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 760
Process Programs LR Focus Separation Setup

Otherwise, it will be shown as in Figure 503, soliciting the use of the default value to improve
throughput.

Figure 503: LR Focal Point Setup GUI - Set to Default

If the value is being changed:


Type: Value desired . . . In the LR Focal Point input field
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 761
Process Programs LR Focus Separation Setup

The computer will bring up a confirmation screen for the LR separation just input, as shown in
Figure 504.

Figure 504: LR Focal Point Setup Verification Screen

To make the change:


Press: Yes
Press: Next >
~ or ~
To reject the change:
Press: No
Press: Next >
To exit the wizard:
Press: Done . . . To return to process program data configuration menu

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 762
Process Programs Voting Setup

Voting Setup
Voting is a purchasable software option and may not be enabled. Refer to “Software Options”
on page 190 for further information.
Voting Lithography is defined as imaging multiple fields with the same pattern over each other
using reduced exposure energy. The reason for doing this is to minimize defects of a given
Reticle field by “voting” them out on the wafer. The first field imaged on the wafer is the primary
field. Subsequent fields are called voting fields. This feature may allow greater CD control and
minimize the effect of small reticle defects, however throughput is significantly decreased.
The voting setup wizard is reached through the following path:
Setup Menu > Variables > Process Program Data > Focus > Voting Setup
The Voting Setup Wizard is shown in Figure 505.

Figure 505: Voting Setup Wizard

Prompt: “Please enter the Primary Field Number.”


Type: The primary field number . . . As instructed
Press: Next >
Prompt: “Please enter the Voting Field Number.”
Type: A field number . . . Other than the primary to be imaged over the primary
field
Press: Next >

NOTE . . .
ONLY FIELDS NOT PREVIOUSLY SELECTED IN PROCESS PROGRAM DATA CAN BE VOTED.

Prompt: “Add another Voting Field?”


Press: No . . . To exit this wizard

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 763
Process Programs Voting Setup

Press: Next >


~ or ~
Press: Yes
Press: Next >
Prompt: “Please enter the Voting Field Number.”
Type: A field number . . . If a field number is typed which has already been
selected, the Stepper will prompt:
Prompt: “Un-Vote the Field?”
Press: No or Yes . . . The field will or will not be used for voting, respectively
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 764
Process Programs Focus Exposure Matrix Setup

Focus Exposure Matrix Setup


This is used to modify step specific focus and exposure values for focus exposure matrix or
specialized runmode data. This wizard provides functions that allow the user to characterize the
performance of focus and exposure.
1. The focus exposure matrix setup wizard is reached through the following path:
Setup Menu > Variables > Process Program Data > Focus Exposure Matrix Setup
2. A warning screen (Figure 506) regarding changes affecting focus and exposure results
appears. Read the warning and proceed with the wizard.
Press: Next >

Figure 506: Focus Exposure Setup Warning Screen

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 765
Process Programs Focus Exposure Matrix Setup

3. The focus exposure setup wizard main menu will appear (Figure 507).

Figure 507: Focus Exposure Setup Wizard

Skip Steps with Probes Off Wafer


1. From the Focus Exposure Setup Main Menu (Figure 508), access Skips Steps with probes off
wafer.
Select: Skip Steps with probes off wafer . . . From the list
Press: Next >
Screen: As shown in Figure 508.

Figure 508: Skip Steps with Probes Off Wafer

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 766
Process Programs Focus Exposure Matrix Setup

Press: No . . . Steps will not be skipped. Defaults back to Focus Exposure Setup
Wizard Main Menu.
~ or ~
Press: Yes . . . Steps will be skipped. Defaults back to Focus Exposure Setup Wizard
Main Menu.
Press: Next >

Change Step Layout


1. From the Focus Exposure Setup Main Menu (Figure 507), access Change step layout.
Select: Change step layout . . . From the list
Press: Next >
2. Select the field to be used in the matrix.

Figure 509: Field Selection Screen

Screen: As shown above in Figure 509.


Select: “The field number to be used” . . . From the list
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 767
Process Programs Focus Exposure Matrix Setup

3. Enter the spacing and percentage of the step configuration.

Figure 510: Spacing Selection Screen

Screen: As shown above in Figure 510.


Select: “Spacing between steps” . . . Field
Type: # . . . (Where # is the spacing between steps.)
Select: “Percentage of step on wafer” . . . Field
Type: # . . . (Where # is the percentage of step on wafer.)
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 768
Process Programs Focus Exposure Matrix Setup

4. Determine in which corner the step sequence will begin. The wafer visual layout in the right
panel will reflect the changes as accepted by pressing Next >.

Figure 511: Step Sequence Start Location

Screen: As shown in Figure 511.


Press: Lower Right or Upper Left
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 769
Process Programs Focus Exposure Matrix Setup

5. Determine pattern layout to be followed. The wafer visual layout in the right panel will
reconfigure the pattern layout as shown in Figure 512.

Figure 512: Grid (A), Vertical Serpentine (B) and Horizontal Serpentine (C) Patterns
with Upper Left Step Sequence

Grid (A) Vertical (B)

Horizontal (C)

Press: Grid
Press: Next >
~ or ~
Press: Vertical Serpentine
Press: Next >
~ or ~
Press: Horizontal Serpentine
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 770
Process Programs Focus Exposure Matrix Setup

Prompt: “Are you sure you want to clear the current process program data in memory
and create a matrix?”
Press: Yes . . . Changes take effect and wafer configuration reflects changes to step
layout.
Press: Next > . . . Returns to Focus Exposure Setup Wizard Main Menu.
~ or ~
Press: No . . . Changes do not take effect, so wafer configuration is returned to
original state.
Press: Next > . . . Returns to Focus Exposure Setup Wizard Main Menu.

Step Order FE Autofill


1. From the Focus Exposure Setup Main Menu (Figure 507 on page 766), access Step Order FE
Autofill.
Select: Step Order FE Autofill . . . From the list
Press: Next >
2. The warning shown in Figure 513 may appear.

Figure 513: Focus Exposure Setup Warning

Press: Yes
Press: Next > . . . to proceed

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 771
Process Programs Focus Exposure Matrix Setup

3. Enter the autofill parameters.

Figure 514: Focus Exposure Wizard

Screen: As shown above in Figure 514.


Select: “Number of Exposure Changes” . . . Field
Type: <#> . . . Where # is the number of Exposure Changes.
Select: “Skip step after focus change” . . . Field
Type: 0 . . . 0=No: will expose the step.
~ or ~
Type: 1 . . . 1=Yes: will skip the step.
Press: Next >
4. Enter the Number of Focus Changes.
Select: “Number of Focus Changes” . . . field
Type: # . . . Where # is the number of focus changes.
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 772
Process Programs Focus Exposure Matrix Setup

5. Determine how the exposure will increment.

Figure 515: Exposure Increment Format

Screen: As shown in Figure 515.


Press: mJ . . . Millijoule
~ or ~
Press: Percent
Press: Next >

Figure 516: Exposure Entry Method

Screen: As shown in Figure 516.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 773
Process Programs Focus Exposure Matrix Setup

Select: Min-Max . . . Divides the exposures evenly between min and max values.
~ or ~
Select: Center-Increment . . . Requests the center exposure energy and
increments both above and below.
~ or ~
Select: Start-Increment . . . Starts at a requested energy and increments up from
this point.
Press: Next >
Type: <Desired center exposure energy> . . . In the “Center Exposure
Energy” field box.
Press: Tab . . . Key on keyboard
Type: <Exposure increment value> . . . In the “Exposure Increment” field box
Press: Next >
6. Choose method of Focus Entry.
Prompt: “Exposure selection complete, please select method of Focus Entry”
Select: Min-Max . . . Divides the focus changes between min and max values.
~ or ~
Select: Center-Increment . . . Requests the center focus offset and increments
both above and below.
~ or ~
Select: Start-Increment . . . Requests a starting focus offset and increments up
from this point.
Press: Next >

XY Grid FE Autofill
Used to create a XY grid based focus exposure matrix, with focus changing by column (left to
right) and exposure changing by row (bottom to top).
1. From the Focus Exposure Setup Main Menu (Figure 507 on page 766), access XY Grid FE
Autofill.
Select: XY Grid FE Autofill . . . From the list
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 774
Process Programs Focus Exposure Matrix Setup

2. The information shown in Figure 517 appears.

Figure 517: Information

Press: Next >


3. Select a reticle field for the matrix, as shown in Figure 518.

Figure 518: Select A Reticle Field

Select: <The desired reticle field> . . . from the list


Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 775
Process Programs Focus Exposure Matrix Setup

4. The dialog box shown in Figure 519 appears.

Figure 519: Matrix Properties

Reference Step . . . the image number that will be the center of the matrix.

Focus Increment . . . the µm focus change that will occur by column.

Exposure Increment . . . the mJ exposure change that will occur by row.

Focus @ Reference Step . . . the focus offset at the center of the matrix (reference step).

Exposure @ Reference Step . . . the exposure, in mJ, at the center of the matrix
(reference step).
Do: Select the appropriate text boxes and enter any desired changes
Press: Next >
Prompt: “Please Next to view updated map.”
Press: Next > . . . to view the updated wafer map

Edit Step properties


Allows the user to edit individual steps, if desired.
Select: Edit Step properties . . . From the list

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 776
Process Programs Focus Exposure Matrix Setup

Press: Next > . . . This feature allows the user to edit the skip step, step exposure
energy, and step focus offset properties of each step in process program
data. Refer to Figure 520 and Figure 521.

Figure 520: Edit Step Properties Screen

Figure 521: Editing Step Properties

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 777
Process Programs Focus Exposure Matrix Setup

Print Wafer Map


Allows the user to print the wafer map on the Windows default printer.
Select: Print Wafer Map . . . From the list
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 778
Process Programs Global Alignment Parameters

Global Alignment Parameters


This section describes job setup parameters that are not specific to a reticle field or backup
alignment scheme, but will be applied to all alignments/exposures.
The global alignment parameters table is reached through the following step path:
Setup Menu > Variables > Process Program Data > Global Alignment Parameters
The global alignment parameters table is shown in Figure 522.

Figure 522: Global Alignment Parameters

Settings

Theta Align
Global . . . Global theta align is the normal mode of the stepper. The prealign site provides
the only fine theta alignment. The stepper then does X and Y alignment on all steps for
that reticle field. If and when the reticle changes fields, another prealign and theta
adjustment is done.

Local . . . In local theta, a fine theta adjustment is made at prealign and then at each step,
if above the value specified in Prealign dY Limit, for site-by-site alignment. Local Theta
Align will decrease throughput. The throughput loss can be minimized by increasing
“Prealign dY Limit” on page 734.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 779
Process Programs Global Alignment Parameters

Double Theta Check


Disabled . . . This is the normal mode of the stepper. During prealign, theta is checked
during an MVS capture with both eyes. If dY (the difference between the two Y positions
of the wafer targets) is out of tolerance, theta will be adjusted and dY is checked again.
If dY is within the limit, no further adjustment is made. The theta limit is specified in
“Prealign dY Limit” on page 734.

Enabled . . . When double theta check is enabled, and theta has been adjusted until dY is
in tolerance, another MVS capture with both eyes is done to ensure that dY is in
tolerance. If the second measured theta is above specification, theta is adjusted and
checked again. Double theta check will decrease throughput, but will increase theta
alignment accuracy.

Single Eye Align


This non-editable field displays the settings of the checkbox “Allow Single Eye Alignment” on
page 785.

Backup Alignment Strategies


This non-editable field displays the settings of the checkbox “Enable Backup V-Motor” on
page 785. This feature allows for the creation of secondary and tertiary alignment sites, and
corresponding alignment offsets.

Enabled . . . When backup alignment strategies is enabled and the stepper fails to align a
site, the key distance will be moved to its secondary key distance and alignment will be
attempted using the secondary alignment target offset (if any). If the stepper still cannot
align, the key distance will be moved to the tertiary key distance and the tertiary
alignment target offset will be used. If the stepper is unable to align using any of the
key distance settings, the key distance will be restored to its original position.
When backup alignment strategies is enabled and the stepper is in manual assist mode,
pressing Shift-V will cause the key distance to move to the next position in sequence.
Pressing Ctrl-V will allow the user to enter any key distance (same as shift-V with backup
alignment strategies disabled).
After successful alignment, the key distance will either be left as is or be returned to the
primary key distance, refer to the next section, “Restore Primary Alignment Strategy”.
Moving the key distance as many as three times per step is very time consuming and will
have a significant effect on wafer throughput. Backup keys can be useful if the primary
targets are variable in quality.

Disabled . . . With backup alignment strategies disabled, the stepper will use only the
primary key distance for alignment. If alignment fails, the stepper will follow the “Failed
Alignment Recovery” option setting.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 780
Process Programs Global Alignment Parameters

NOTE . . .
IF NO VALUE IS ENTERED IN THE SECONDARY OR TERTIARY KEY DISTANCES AND THE BACKUP ALIGNMENT
STRATEGIES SETTINGS IS ENABLED, THOSE BACKUP KEY DISTANCES WILL NOT BE USED AND THE ERROR
MESSAGE: “PRIMARY/SECONDARY/TERTIARY KEY DISTANCE SETTING FOR FIELD # IS OUT OF RANGE. CHECK
PROCESS PROGRAM DATA!” WILL BE DISPLAYED ON THE SCREEN.

Restore Primary Alignment Strategy


Enabled . . . If the restore primary alignment strategy option is enabled, the stepper will
restore the primary align site setting (key distance) before attempting to align each site.
Note that restoring primary alignment strategy after each step can be very time
consuming and will cause a significant decrease in throughput.

Disabled . . . If restore primary alignment strategy is disabled, and the stepper successfully
aligns using the secondary or tertiary key distance, the key distance will be left at that
position for subsequent steps. If another alignment failure is encountered, the stepper
will try backup key distances in sequence - for example, if it fails while using the
secondary key distance, it will try the tertiary key distance next and the primary last. The
key distance will always be restored to its primary position at the start of a wafer or at
a field change.

Spiral Search Move


When the MVS search algorithm fails to recognize a wafer pattern, and the “Spiral Search on
No-Align” option has been enabled, the number entered here will control the search move or
step size. During spiral search each move or step should be less than the size of the field of view
to lessen the chance of missing an area on the wafer. Refer to Figure 523.

Spiral Search Limit


The spiral search limit specifies at what point the system will abandon spiral search and defer
to the operator configuration choice. Typically this would be a drop into manual assist mode
where the operator would manually search for the wafer target. Refer to Figure 523.

Spiral Search on No‐Align


Never . . . Spiral search is disabled.

Prealign . . . If the wafer targets are not found during prealign, the spiral search routine
will step the wafer, using the “Spiral Search Move” value as its step size and “Spiral
Search Limit” to define its range, to attempt to locate the targets.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 781
Process Programs Global Alignment Parameters

All Aligns . . . If the wafer targets are not found during any alignment, the spiral search
routine will step the wafer, using the “Spiral Search Move” value as its step size and
“Spiral Search Limit” to define its range, to attempt to locate the targets.

Figure 523: Spiral Search Path and Limits

Camera's Search
FOV Limit

2 2 23 24 25

2 7 8 9 1

19 6 1 2 11
Search move
Step Size
18 5 4 3 12

17 16 1 1 13

MVS Dumpzone Calibration


When this option is enabled, the stepper will calculate the residual reticle rotation verses stage
travel. The result will be used to make a small ‘X’ correction to a ‘Y’ dumpzone move. By
selecting “Every Prealign” the calculation is performed with every field change on every wafer.
By selecting “Prealign on 1st wafer” the calculation is performed only on the first wafer of the
run.

X, Y and Theta Overlay Offsets and EGA Correction


As stated in the table, these values are entered through the Overlay Offset Entry Wizard. Refer
to “MVS Offset Calibration (Stepper MVS Overlay)” on page 556.

Double Focus Align Rm2 First Shot


This feature is intended for rowbar processing. The default focus method is to focus on the first
rowbar, using the coordinates specified by process program data, align and expose. With this
option set to Enabled, focus on the first rowbar will be performed, using the coordinates
specified by process program data. Alignment is performed and position offsets are determined.
These offsets are then used to update the focus position. The bar will be re-focused, using the

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 782
Process Programs Global Alignment Parameters

position offsets, realigned, and exposed. This option ensures that initial focus is performed in
the exact center of the first rowbar. There is some negative impact to throughput by enabling
this option.

Disabled . . . do not perform double focus (default).

Enabled . . . perform double focus on the first rowbar.

MVS Key PreCapture


When enabled, the position of the reticle keys is captured and stored at the beginning of each
wafer. On each alignment location on this wafer, the wafer targets only are captured, and their
position is compared to the stored position of the reticle keys. This option is intended to speed
up processing on layers with thick resists, where the reticle keys and wafer targets would
normally be in a different focal plane.

Post Prealign MVS Key PreCapture


MVS precapture can sometimes cause mean shifts due to drift of the flipper prism. During the
normal course of MVS precapture the capture is done during wafer I/O to give the best
throughput. The flipper prism may drift, so if something happens to change the timing like spiral
search or manual assist it can cause significant mean shifts on that wafer. This setting performs
the MVS precapture after completing prealign to give the most consistent timing.

Skip Frosty OAT on Field Change


False . . . If a field change is made during wafer processing, the frosty OAT calibration will
be performed.

True . . . Frosty OAT calibration will not be performed after a field change is made during
wafer processing.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 783
Process Programs Graphical Alignment Editor

Graphical Alignment Editor


The graphical alignment editor provides an easy to use graphical form of editing and setting up
many of the options available in process program data.
The graphical alignment editor is reached through the following step path:
Setup Menu > Variables > Process Program Data > Graphical Alignment Editor
The opening screen of the graphical alignment editor is shown in Figure 524. Note the tabs
across the top, these provide an easy means of navigation through the various screens.

Alignment Type
Figure 524: Alignment Type

Alignment Type
Refer to “Alignment Type” on page 739.

Runmode Option
Blindstep . . . The stepper will process wafers in blindstep mode when the job is started.
This should be used for first layers only.

Site-by-Site . . . The stepper will perform an alignment at each defined image position on
the wafer during processing.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 784
Process Programs Graphical Alignment Editor

Global EGA . . . The stepper will perform an alignment only at the defined EGA sites on the
wafer. The additional images will be blind-exposed, using offsets obtained during the
EGA site alignments.

Enable Backup V‐Motor


If checked, enables backup alignment strategies to be used. Refer to “Backup Alignment
Strategies” on page 780.

Allow Single Eye Alignment


This option will define if a single eye alignment will occur when a site is defined as a both eye
fix and alignment fails. If checked, the option below will be in effect:

Inner Eye . . . Single eye alignment will be attempted with the alignment mark closest to
wafer center.

Prealign
This section contains four options as described below:

Field (Drop-Down Box) . . . Select the field here before editing the P1 and P2 options.

P1 . . . The primary prealign site image number for the selected field.

P2 . . . The secondary prealign site image number for the selected field.

Skip Prealign . . . If this box is checked, prealign will be skipped on this field, and the
stepper will attempt site-by-site or EGA align (as defined). This setting corresponds to
the setting “Skip Prealign” on page 734.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 785
Process Programs Graphical Alignment Editor

EGA Steps
Figure 525: EGA Steps Summary

This function provides an alternate way of setting up the EGA sites. The other method is
described in “EGA Setup” on page 721.
The wafer map controls on the right panel are active throughout most of the sub-functions, and
allow the user to zoom in and out, and to reposition the wafer display using the scroll bars.

Clear EGA Sites


This button allows the user to clear all of the listed EGA sites shown on the summary.

Edit
This tab starts a wizard allowing the user to edit all of the EGA properties, as described below:

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 786
Process Programs Graphical Alignment Editor

Step 1: Instructions . . . refer to the left side of Figure 526.

Figure 526: Steps 1 through 3

Step 2: Select Field . . . from the pull-down box, select the reticle field to modify.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 787
Process Programs Graphical Alignment Editor

Step 3: Select Steps . . . first, click on the row in the table for the site that you wish to
modify, then left (primary) or right click (secondary) on the wafer map where desired.
Figure 527 shows a modification of secondary site 2 from image 10 to 11. If a mistake
is made, clicking the Cancel button will undo any changes made so far.

Figure 527: Site 2 Secondary Location Modified

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 788
Process Programs Graphical Alignment Editor

Step 4: Min/Max . . . from the pull-down boxes, select the minimum and maximum
number of EGA sites. Refer to “Editing Minimum Number and Maximum Number
Required” on page 724.

Step 5: Apply . . . using the drop-down box, select the field or fields to apply the changes
to, then press Apply. Both of the other buttons, Cancel Unapplied Selections and
Clear Applied Selections will clear the grid.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 789
Process Programs Graphical Alignment Editor

Step 6: Done . . . Pressing done returns the user to the summary, with all of the applied
changes displayed on the wafer map. As an example, Figure 528 shows the summary
and wafer map where all of the backup sites for all fields have been reassigned, relative
to Figure 525.

Figure 528: Backup Sites Reassigned for all Fields

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 790
Process Programs Graphical Alignment Editor

Reticle Keys
Figure 529: Reticle Keys

This screen allows the user to define the MVS Camera X Positions (see “Image Reference To Eye
Distance (Left or Right) (X or Y)” on page 745) and the Reticle Key X and Y Positions (see
“Image Reference To Key Distance (Left or Right) (X or Y)” on page 745) for each eye. It must
be noted that if asymmetrical values are entered here, the status of the variables “Asymmetrical
Configuration” on page 742 and “Asymmetrical Configuration” on page 797 will be changed to
“Enabled” when the editor is exited from the Finish tab by pressing Done.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 791
Process Programs Graphical Alignment Editor

MVS Windows
Figure 530: MVS Windows

This screen allows the user to define the MVS Key to Target offsets (also see “Key To Target
Distance (Left or Right) (X or Y)” on page 745) for each eye and field, using the tabs along the
bottom left edge. It must be noted that the Key to Baseline value is set in the Reticle Keys
screen.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 792
Process Programs Graphical Alignment Editor

Targets
Figure 531: Targets

This screen allows the user to define the wafer target locations, relative to the image reference
point. Also see “Target Offset (Left or Right) (X or Y)” on page 745.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 793
Process Programs Graphical Alignment Editor

Finish
Figure 532: Finish

This screen allows the user to accept the changes by pressing Done, or disregard the changes
by pressing Cancel. Please note that Done does not save the changes to the file, to do that
the process program must be saved. Refer to “Saving Process Program Data” on page 834.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 794
Process Programs Machine Specific Offsets (Z Array)

Machine Specific Offsets (Z Array)


Only when a stepper is serialized by entering a number from 1 to 50 in “Customer Serial
Number” on page 655 can the Z array (machine specific process program data variables) be
accessed when loading process program data. These Z variables are usually not used because
of the complexity of calibrating them for each machine and resaving process program data on
each machine.
The machine specific offsets (Z array) table is reached through the following step path:
Setup Menu > Variables > Process Program Data > Machine Specific Offsets (Z Array)
The machine specific offsets (Z array) table is shown below.

Settings

Field 1(2 & 3): Left (Right) Target Overlay MVS Offset for X (Y)
Overlay offsets are determined by reading verniers or by using a wafer metrology tool such as
a KLA. The values are reticle field and machine dependent and cannot be saved unless a stepper
has been serialized by entering a number from 1 to 50 in “Customer Serial Number” on page 655
(machine variable).
There are four values of overlay offset, left and right for X and Y. If the X offsets (left and right)
are the same, an X move will be made before expose. If they are different, the values will be
averaged (to minimize runout) and the average X correction made before exposure. If the Y
offsets (left and right) are the same, a Y move will be made before exposure. If they are
different, the values will be averaged (to minimize theta) and the average Y correction made
before exposure. If a field is on the edge of the wafer and only one eye will be used for
alignment, the value of the particular eye’s overlay offsets for X and Y will be used.

Field 1(2 & 3): Theta Target Overlay MVS Offset


Theta overlay offsets are determined by reading Y verniers at two locations per exposed field.
The values are reticle field and machine dependent and cannot be saved unless a stepper has
been serialized by entering a number from 1 to 50 in “Customer Serial Number” on page 655
(machine variable).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 795
Process Programs MVS Reticle Alignment

MVS Reticle Alignment


The MVS reticle alignment table is reached through the following step path:
Setup Menu > Variables > Process Program Data > MVS Reticle Alignment
The MVS reticle alignment table is shown below.

Figure 533: MVS Reticle Alignment

Settings

Legacy Reticle Alignment Mode


Reticles designed for MVS reticle alignments have MVS fiducials as shown on the left side of
Figure 534. Reticles designed for WAS reticle alignment use fiducials shown on the right side of
Figure 534. This option, if set true, will allow the use of WAS reticle fiducials for MVS alignment.

Figure 534: Unity and Legacy Reticle Fiducials

False . . . Reticle alignment will use MVS fiducials

True . . . Reticle alignment will use legacy fiducials

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 796
Process Programs MVS Reticle Alignment

Pattern Filename
This is the reticle fiducial pattern filename.

Correlation Limit
Acceptance value (in percent) for the reticle fiducial.

Coarse Search Limit


Acceptance value (in percent) for the initial coarse search of the reticle fiducial. For more
information on limit values, refer to “Patmax Scoring System” on page 332.

Focus Offset
The MVS camera focus offset, in µm, from auto-focus center value during fiducial capture.

Illuminator Offset
The MVS camera illumination offset, from the IBC center value during fiducial capture.

Brightness Offset
MVS camera gain offset from the IBC center value to be used during fiducial capture.

Contrast Offset
MVS camera contrast offset from the IBC center value to be used during fiducial capture.

Asymmetrical Configuration
This option will enable or disable Asymmetric MVS. Normal mode is symmetric keys and targets.

Image Reference To Eye Distance (Left & Right) X


The distance from the image reference point (0,0 of the setup reticle) to the center of the MVS
camera’s field of view during fiducial capture.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 797
Process Programs MVS Auto Focus and IBC

MVS Auto Focus and IBC


The MVS auto focus and IBC table is reached through the following step path:
Setup Menu > Variables > Process Program Data > MVS Auto Focus and IBC
The MVS auto focus and IBC table is shown below.

Figure 535: MVS Auto Focus and IBC Menu

Settings

Auto Focus on Reticle Load Fiducial


No . . . Auto focus on reticle load fiducial will not be performed.

Yes . . . Auto focus on reticle load fiducial will be performed and the results will update all
process program align site MVS focus offsets.

Auto IBC on Reticle Load Fiducial


No . . . Auto IBC (Illumination, brightness and contrast) on the reticle load fiducial will not
be performed.

Yes . . . Auto IBC on reticle load fiducial will be performed and the results will update all
process program reticle align MVS IBC offsets.

Run Auto Focus On‐Axis


No . . . Auto focus during on-axis MVS alignment will not be performed.

Reticle Key . . . Auto focus during on-axis MVS alignment will be performed using the
reticle key and the results will update all process program align site MVS focus offsets
for the current align site.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 798
Process Programs MVS Auto Focus and IBC

Apply Auto Focus Results to...


This non-editable setting indicates how the auto focus results will be applied, depending upon
the Run Auto Focus On-Axis setting.

Apply Manual Assist Auto Focus Results to...


This non-editable setting indicates how the auto focus results will be applied, depending upon
the Run Auto Focus On-Axis setting.

Run Auto IBC On‐Axis


No . . . Auto IBC during on-axis MVS alignment will not be performed.

Reticle Key (FOV) . . . Auto IBC during on-axis MVS alignment will be performed using the
entire field of view of the reticle key and the results will update all process program align
site MVS IBC offsets for the current align site.

Reticle Key as ROI . . . Auto IBC during on-axis MVS alignment will be performed using
just the region of interest around the reticle key and the results will update all process
program align site MVS IBC offsets for the current align site.

Wafer Target . . . Auto IBC during on-axis MVS alignment will be performed using the
wafer target and the results will update all process program align site MVS IBC offsets
for the current align site.

Apply Auto IBC Results to...


This setting indicates how the auto IBC results will be applied, depending upon the Run Auto
IBC On-Axis setting. The following options are available only with Wafer Target selected above.

Current Align Site . . . Auto IBC results will be applied only to the current align site.

All Align Sites . . . Auto IBC results will be applied to all align sites.

Apply Manual Assist Auto IBC Results to...


This setting indicates how the auto IBC results will be applied, depending upon the Run Auto
IBC On-Axis setting. The following options are available only with Wafer Target selected above.

Current Align Site . . . Auto IBC results will be applied only to the current align site.

All Align Sites . . . Auto IBC results will be applied to all align sites.

Prompt . . . After auto IBC, the user will be prompted to make a choice of how to apply the
results. The default is Current Align Site.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 799
Process Programs MVS Key Precapture

MVS Key Precapture


This table is used to define the align site for MVS key precapture

NOTE . . .
THIS TABLE WILL ONLY APPEAR WHEN “MVS Key PreCapture” on page 783 OR “Post Prealign MVS Key
PreCapture” on page 783 ARE ENABLED.

The MVS key precapture table is reached through the following step path:
Setup Menu > Variables > Process Program Data > MVS Key Precapture
The MVS key precapture table is shown below.

Figure 536: MVS Key Precapture Table

Settings

Alignment Type
Undefined . . . This is the default setting if the process program data does not contain a
setting for this option.

WAS . . . Wafer alignment system, available only on Ultratech Star 100 steppers.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 800
Process Programs MVS Key Precapture

MVS On-Axis . . . The normal MVS alignment method.

MVS Off-Axis . . . Available only on the Dual Side Alignment (DSA) stepper.

Pattern Filename Reticle


This is the reticle target filename.

Correlation Limit Reticle


Acceptance value (in percent) for the reticle target. For more information on limit values, refer
to “Patmax Scoring System” on page 332.

Coarse Search Limit Reticle


Acceptance value (in percent) for the initial coarse search of the reticle target. For more
information on limit values, refer to “Patmax Scoring System” on page 332.

Illuminator Offset
The MVS camera illumination offset, from the IBC center value during MVS key precapture.

Focus Offset Left


Left camera focus offset, in µm, from the auto-focus center value.

Focus Offset Right


Right camera focus offset, in µm, from the auto-focus center value.

Brightness Offset Left


Left camera gain offset from the IBC center value.

Brightness Offset Right


Right camera gain offset from the IBC center value.

Contrast Offset Left


Left camera contrast offset from the IBC center value.

Contrast Offset Right


Right camera contrast offset from the IBC center value.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 801
Process Programs MVS Key Precapture

Search Strategy
Option to select the search algorithm, either Patmax or CNL.

Confidence Threshold
Editable only if Confidence is enabled. For a definition, refer to “Confidence Threshold” on
page 741.

Confidence
Enabled (default) . . . Search with Confidence

Disabled . . . Confidence checking is disabled

Clutter
For a definition, refer to “Clutter” on page 741.

Disabled (Default) . . . Clutter is ignored.

Enabled . . . Clutter is factored into the target score.

Asymmetrical Configuration
For a definition, refer to “Asymmetrical Configuration” on page 742.

Image Reference To Key Distance (Left or Right) (X or Y)

Image Reference To Eye Distance (Left or Right) (X or Y)


When the key and camera (eye) positions are updated in the Field Specific Align Site table (See
“Field Align Site Data” on page 738), the corresponding key and eye positions of the MVS
precapture align site are also updated with the same values. The key and eye positions will also
be displayed in the MVS Key Precapture table. The values of these variables are read only and
cannot be edited from this table.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 802
Process Programs Reticle Cooling

Reticle Cooling
The reticle cooling table is reached through the following step path:
Setup Menu > Variables > Process Program Data > Reticle Cooling
The reticle cooling table is shown below.

Figure 537: Reticle Offset Data

Settings

Control Mode
Open Loop . . . The Open Loop mode offers two options to use for the air source: ambient
air and cooled air. If the Ambient Air Source option is selected, wafer processing
continues without control mechanisms. Thus, the process is not automated.

If the Cool Air Source option is selected, which provides semi-automatic control over the
process, wafer processing is governed by a user-specified idle time. In this case, the
Reticle/Prism Cooler Controller closes the cooling solenoids based on the specified idle
time, which sets the permissible idle time between wafer processing segments (the end
of processing one wafer until the beginning of the next). During wafer processing, cooled
air is blown over the reticle and prism. At the end of wafer processing, the idle-time
counter begins counting down, based on the user-specified idle time value. If wafer
processing begins before the idle time period expires, the idle-time counter is reset to
the specified value, and the system continues with wafer processing, the cooled air flow
continues uninterrupted. However, if the specified idle time expires before processing
begins again, the cooled air is automatically replaced with ambient air until wafer

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 803
Process Programs Reticle Cooling

processing is resumed. When wafer processing resumes, cooled air is again supplied.
Figure 538 illustrates the Open Loop\Cool Air Source process (the example is used for
illustration purposes only):

Figure 538: Open Loop Operation

Closed Loop . . . The Closed Loop mode automatically maintains the desired temperatures
of the reticle and prism during the entire process, which is controlled by the user-defined
set point (the ideal temperature setting) and two user-defined limits above and below
the set point. The first level, the warning level, specifies that the temperature is either
hotter or cooler than the set-point temperature, but still within the acceptable range. At

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 804
Process Programs Reticle Cooling

the second level, the system sends an alarm interdiction message that the temperature
has reached the maximum hot or cold limit, and the operation stops. The Figure 539
shows this process (the example is used for illustration purposes only):

Figure 539: Closed Loop Operation

Idle Time Before Stop Cooling


Refer to the description in “Open Loop” on page 803.

Air Source
Reticle & Prism Ambient . . . Sets the system to use ambient air only.

Reticle & Prism Cool . . . Sets the system to use cooled air.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 805
Process Programs Reticle Offset Data

Reticle Offset Data


The reticle offset data table is reached through the following step path:
Setup Menu > Variables > Process Program Data > Reticle Offset Data
The reticle offset data table is shown below.

Figure 540: Reticle Offset Data

Settings

Reticle X Offset
Reticle slider positions are set up using a reference test reticle that is “perfect,” as it is assumed
to have no offset. Each production reticle could possibly exhibit offsets relative to the setup
reticle. Each time a reticle is aligned, fiducial offsets are displayed and optionally printed to the
print monitor. If the X-offset value determined for this reticle is entered here, with its sign, and
converted to mm, the reticle alignment sequence can be sped up.

Y Left Offset
If the Y left offset value determined for this reticle is entered here, with its sign, and converted
to mm, the reticle alignment sequence can be sped up.

Y Right Offset
If the Y right offset value determined for this reticle is entered here, with its sign, and converted
to mm, the reticle alignment sequence can be sped up.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 806
Process Programs Reticle Title

Reticle Title
The reticle title is first entered in the Atlas program when generating process program data. It
can contain information identifying the layer, the device, date created or anything deemed
appropriate to the process. If the title needs to be changed, it can be done through this menu
item or through the Atlas program.
Reticle I.D. can also be changed in this menu. Reticle I.D. can be first entered in the Atlas
program. It is used by the stepper to identify the reticle, in the reticle library, to use for
processing. If the I.D. needs to be changed, it can be done through this menu item or through
the Atlas program.
The reticle title table is reached through the following path:
Setup Menu > Variables > Process Program Data > Reticle Title
The reticle title table is shown below.

Figure 541: Reticle Title Menu

Settings

Reticle Data Filename


This optional field may be used to specify the filename of this data.

Reticle ID
The process program has three parameters for reticle ID.
„ Reticle ID 1=
„ Reticle ID 2=
„ Reticle ID 3=
These three fields are searched by the EFEM agent for the reticle ID. If any one of these fields
contains the ID as it is on the reticle barcode, then a match will be declared.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 807
Process Programs Reticle Title

The following are rules in order of precedence that determine which reticle will be used for
processing:
z If a reticle ID is specified in process program parameters ‘Reticle ID 1’ or ‘Reticle ID 2’ or
‘Reticle ID 3’ AND it’s on the stage, then the reticle that is present on the stage will be used
for wafer processing. Thus, the reticle on the stage takes highest precedence, even if it is
not first in the list. To explain further with an example, if Reticle ID 1 was in the reticle library
and Reticle ID 3 was on the stage, Reticle ID 3 will be given higher precedence.
z If the reticle ID specified in the above 3 parameters is NOT on the stage, but available in
the reticle library, then the reticle that is available first in the list will be given precedence.
An example – if ‘Reticle ID 1’ specified is not available either on the stage or reticle library,
but ‘Reticle ID 2’ and ‘Reticle ID 3’ are available in the Reticle library, Reticle ID 2 will be
used for wafer processing.
z If the reticle ID specified is neither on the stage or reticle library, then a ‘Process Program
Validation’ error will occur. The process job cannot specify the reticle ID. As part of E40
standards, the Process Job contains the Process Program ID, which contains the list of 3
reticle IDs. Thus, it’s the process program that determines which reticle will be used during
wafer processing.

Process Program Data Description (1 to 8)


These optional fields may be used to store descriptive data.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 808
Process Programs Step Specific Process Mode (SPM)

Step Specific Process Mode (SPM)


Under certain circumstances, users may want to bypass the normal process mode (NORMAL) in
order to skip (SKIP) and/or blind expose (EXPOSE) some selected steps. (Note that blind expose
is the normal process of blindstep, so setting steps to blind expose has no meaning in that run
mode.)
Step Specific Process Mode (SPM) allows users to change the process modes of selected steps
from their NORMAL operation mode to SKIP, or EXPOSE.
It should be pointed out that changing the process modes of steps could have unexpected effect
on prealignment conditions. For example, if prealignment is enabled (in other words, not
skipped), and the prealignment sites have been set to SKIP or EXPOSE, then prealignment will
not be performed for those sites. As a consequence, prealignment will now be disabled/skipped
automatically when this situation happens.
The step specific process mode wizard is reached through the following path:
Setup Menu > Variables > Process Program Data > Step Specific Process Mode
The computer will bring up the first GUI screen for this feature as shown in Figure 542.

Figure 542: Step Specific Process Mode Main Menu

Change Process Modes


1. To enter this function:
Press: Next > . . . With Change Process Modes selected as shown in Figure 542.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 809
Process Programs Step Specific Process Mode (SPM)

2. The computer brings up the screen for Change Process Modes as shown in Figure 543.

Figure 543: SPM - Change Process Modes

3. Note that each step is labeled with three entities: the field number, the step number, and
the process mode (for example, 2,1 NORMAL; 2,2 SKIP; 2,9 EXPOSE; and so forth)
4. To select a single step:
Right click at any step will select that step (the step’s color will turn purple), plus bring
up the drop-down menu for available options (see Figure 544). After selecting a single
step, subsequent right click at another step will de-select the first, and enable the second
selection.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 810
Process Programs Step Specific Process Mode (SPM)

Left click at a step followed by a right click at the same step has the same effect as a
single right click. However, a right click will always end a selection; while a left click only
(without the subsequent right click) can act as the starting point for selecting individuals
of, or a range of, related steps (see Step 5 and Step 6).

Figure 544: Selection of a Single Step

5. To select multiple non-sequential steps:


Holding down the Ctrl key, then a left click at chosen steps will select multiple non-
sequential steps (with selected steps turning purple; see Figure 545). A subsequent right
click at any selected step will drop down the menu for available options, and the selected
option will apply to all the selected steps. For example, Figure 545 shows a selection of
five steps, 1, 3, 5, 7, and 9; if SKIP is selected from the menu, then all the five steps
would change to SKIP. Note that the first step of this feature may be selected with a left
click only (without holding down the Ctrl key). However, subsequent steps must be
selected with the Ctrl key down.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 811
Process Programs Step Specific Process Mode (SPM)

When selecting individuals of related steps, the order of selection is not of importance.
However, if you want to replace the Ctrl-selection with a range selection (see Step 6 for
details), then the last Ctrl-selected step can conveniently act as the anchor step.

Figure 545: Selection of Multiple Individual Steps

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 812
Process Programs Step Specific Process Mode (SPM)

This feature may be used to append steps to a range selection (see Step 6 for details).
For example, Figure 546 shows the result of Ctrl-selecting step 11 and 13 after a range
selection of steps from 1 to 8 (with a subsequent right click to drop down the option
menu). The option selection will apply to all the highlighted steps.

Figure 546: Appending Step 11 & 13 to Range Selection of 1-8

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 813
Process Programs Step Specific Process Mode (SPM)

Ctrl-Left-Click is toggleable: it selects non-selected step, and de-selects selected


steps.This is a very useful feature to select a range of steps with some holes (de-selected
steps) in it. For example, Figure 547 shows a selection which was created by first
selecting a full range (1 to 15), followed by Ctrl-Left-Clicking steps 2, 4, 6, 8, and 10 (de-
selecting). Any subsequent option selection will not apply to these five de-selected steps.

Figure 547: Range Selection (1-15) Plus Ctrl Deselection (2, 4, 6, 8, & 10)

6. To Select a Range of Related Steps:


Holding down the Shift key, then left click at a step will make it the anchor step for a
range selection of related steps. Subsequent Shift-Left-Click of a second step will close
the range selection; the range will include all the steps bounded by the two selected
steps. Figure 548 shows a range selection which was marked by Shift-selecting steps 2
and 12. Note that the order of selection is not of importance, that a mere Left-Click at a
step can make it into an anchor step, and that the last Ctrl-selected step can act as the

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 814
Process Programs Step Specific Process Mode (SPM)

anchor step also (if it is so desired; a Shift-select of a step after a Ctrl-selection will
disable all the Ctrl-selections, use the last Ctrl-selected step as the anchor step, and use
the Shift-selected step as the closing step for a range selection).

Figure 548: Range Selection (2-12) of Related Steps

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 815
Process Programs Step Specific Process Mode (SPM)

7. To clear selections:
Left click anywhere outside the wafer map, and all the selections will be cleared. The
wafer map should appear as clean as that shown in Figure 549.

Figure 549: All Selections Cleared

8. When all the process mode changes have been completed:


Press: Next >
9. The computer will go back to the first SPM screen (Figure 542 on page 809) and temporarily
save the process mode changes.

Save Process Mode Map


1. To enter this function:
Select: Save Process Mode Map . . . From the Step Specific Process Mode Main
Menu
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 816
Process Programs Step Specific Process Mode (SPM)

2. The computer brings up the screen for Save Process Mode Map as shown in Figure 550.

Figure 550: Save Process Mode Map Screen

3. The right-hand side of the screen shows areas to select a drive, to select an existing or enter
a new directory, and to select an existing or enter a new file name to save the process mode
map (for all the changes that have been made). After selecting the drive, directory, and file
name:
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 817
Process Programs Step Specific Process Mode (SPM)

4. If there are no conflicts, the computer will confirm your saving of the file (see Figure 551).

Figure 551: Confirmation Of Saving A Process Mode Map

5. To acknowledge the successful saving of the file:


Press: Next >
6. If, for whatever reasons, the save is not successful, the same GUI for saving the process-
mode map (Figure 550 on page 817) will remain.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 818
Process Programs Step Specific Process Mode (SPM)

7. If the file name you specified is existing already, then the computer will prompt to either
overwrite it or to enter another name (see Figure 552).

Figure 552: Screen To Confirm File Replacement

Load Process Mode Map


1. To enter this function:
Select: Load Process Mode Map . . . From the Step Specific Process Mode Main
Menu
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 819
Process Programs Step Specific Process Mode (SPM)

The computer brings up the screen for Load Process Mode Map (see Figure 553).

Figure 553: Load Process Mode Map Screen

As in Figure 550 on page 817 (Save Process Mode Map), there are three areas on the right to
allow you to select the drive, the directory, and the file. Note that the GUI is for selecting an
existing file only, hence there are no allowances for you to enter directory or filename.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 820
Process ProgramsStep Specific Process Program Data (Edit Wafer Map)

Step Specific Process Program Data (Edit Wafer Map)


The step specific process program data (edit wafer map) wizard is reached through the following
path:
Setup Menu > Variables > Process Program Data > Step Specific Process Program Data (Edit
Wafer Map)
The step specific process program data (edit wafer map) menu is shown in Figure 554.

Figure 554: Step Specific Process Program Data (Edit Wafer Map) Wizard Menu

Change Eye Fix


This option allows eye fixes to be changed. LEFT and RIGHT eye fixes are defined as alignment
using only one eye. BOTH eye fix uses both eyes. NO EYE align is also called overstepping. If a
step is overstepped, a blind exposure will be made, regardless of the Failed Alignment Recovery
option, refer to “Failed Alignment Recovery” on page 732.
1. To change eye fixes or overstep using the Step Specific process program data wizard,
Select: Change Eye Fix . . . This is the default, but if not highlighted, use the up
and down arrows on the right side of menu to select this option.
Press: Next>

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 821
Process ProgramsStep Specific Process Program Data (Edit Wafer Map)

2. The wafer will be plotted and each step labeled with its field number (on the left), step
number (in the middle), and current eye fix (on the right) as shown in Figure 555.

Figure 555: Change Eye Fix Wizard

Do: Right-click on the field to be modified”


Select: <Desired option> . . . Using the touch screen interface, or left-click the
option using the trackball.
3. After modifying all fields to be changed,
Press: Next > . . . Saves changes
~ or ~
Press: Exit . . . Discards changes
4. The step specific process program data (edit wafer map) menu screen appears.

Change Auto Aperture Position


This option allows selection of the auto aperture position to be used with each step.
1. To change auto aperture position using the step specific process program data wizard:
Select: Change Auto Aperture Position . . . Use the up and down arrows on the
right side of menu to select this option.
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 822
Process ProgramsStep Specific Process Program Data (Edit Wafer Map)

2. The menu shown below will appear.

3. Select a method to proceed as described below.

Set All Steps With Auto‐fit Aperture Position


In this option, the controller will attempt to assign the correct auto-aperture position, based on
the image size.
1. To set all steps with auto-fit aperture position using the change auto aperture position
wizard,
Select: Set All Steps With Auto-fit Aperture Position . . . Use the up and down
arrows on the right side of menu to select this option.
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 823
Process ProgramsStep Specific Process Program Data (Edit Wafer Map)

Figure 556: Set All Steps To Auto-fit Aperture Position

Press: Yes . . . to set all steps with auto-fit aperture position


Press: Next >
Prompt: <as seen below>

Press: Next >

NOTE . . .
IF AUTO-FIT FAILS, THE GLOBAL AUTO-APERTURE POSITION WILL BE SET TO 0 (ZERO). TO RE-ACTIVATE THE
GLOBAL POSITION, PERFORM “Set All Steps to Global Aperture Position”.

2. The step specific process program data (edit wafer map) wizard menu screen appears.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 824
Process ProgramsStep Specific Process Program Data (Edit Wafer Map)

Set All Steps to Global Aperture Position


This option sets all steps to the global auto-aperture position. The global auto-aperture position
is defined in the variable “Auto Aperture Position.” Refer to “Auto Aperture Position” on
page 719.
1. To set all steps to global aperture position using the change auto aperture position wizard:
Select: Set All Steps to Global Aperture Position . . . Use the up and down
arrows on the right side of menu to select this option.
Press: Next >
Prompt: <as shown below>

Press: Yes . . . to set all steps to global aperture position


Press: Next >
Prompt: <as shown below>

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 825
Process ProgramsStep Specific Process Program Data (Edit Wafer Map)

Press: Next >


2. The step specific process program data (edit wafer map) wizard menu screen appears.

Set Apertures for Reticle Fields


This option sets the auto-aperture position by reticle field.
1. To set the auto-aperture position by reticle field:
Select: Set Apertures for Reticle Fields . . . Use the up and down arrows on the
right side of menu to select this option.
Press: Next >
Prompt: <as shown below>

Do: Enter the appropriate aperture number into the text boxes.
Press: Next >
2. The step specific process program data (edit wafer map) wizard menu screen appears.

Change Aperture Positions for Steps


This option allows the user to set the auto-aperture position for individual image steps using the
GUI.
1. To set the auto-aperture position by steps:
Select: Change Aperture Positions for Steps . . . Use the up and down arrows
on the right side of menu to select this option.
Press: Next >

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 826
Process ProgramsStep Specific Process Program Data (Edit Wafer Map)

Do: Right-click the step on the wafer pattern map (Figure 557).

Figure 557: Change Auto Aperture Position Wizard

Select: <One of the available choices> . . . Using the touch screen interface, or
left-click the option using the trackball.
Press: Next > . . . Step changes made to process program data will automatically
be updated on wafer map.
~ or ~
Press: Exit . . . Discards changes
2. The step specific process program data (edit wafer map) wizard menu screen appears.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 827
Process Programs Wafer Edge Exposure

Wafer Edge Exposure


This section contains variables and settings that define how wafer edge exposure will be
performed. None of these setting will be effective unless the process program data is configured
for wafer edge exposure. Refer to “Wafer Edge Process Type” on page 718.
The wafer edge exposure table is reached through the following path:
Setup Menu > Variables > Process Program Data > Wafer Edge Exposure
The wafer edge exposure table is shown in Figure 558.

Figure 558: Wafer Edge Exposure Table (Composite of Multiple Screens)

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 828
Process Programs Wafer Edge Exposure

Settings

Wafer Edge Exposure Method


There are two techniques available for wafer edge exposure (WEE). One technique is to use
constant speed exposure, where the prealigner chuck rotates at a constant velocity while
opening and closing the shutter. WEE applications A and B, shown in Figure 559, are examples
where constant speed exposure is suitable. For applications C and D, there are two possible
techniques. One technique is to use constant speed exposure, but using constant-speed
technique for application D results in underexposure at the start and end of the segments, as
shown in Figure 560. Constant-speed technique is obviously not suitable for application C. The
other technique is to quickly rotate to the start position of the segment, stop prealigner rotation,
open the shutter, expose the segment, start rotating to the end of the segment and stop rotating
again, then close the shutter and repeat the same for each segment. This second technique is

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 829
Process Programs Wafer Edge Exposure

called stop-start. Using the stop-start technique results in over-exposure up to 200% of the
normal exposure energy (E0) at the start and end of the segment for application D, as shown
in Figure 561. But there is no over-exposure for application C.

Figure 559: Common WEE Configurations

A B

C D

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 830
Process Programs Wafer Edge Exposure

Figure 560: Constant Speed Segment Exposure

Energy
Start of Segment End of Segment

E0

0 6 mm 6 mm

Figure 561: Stop-Start Segment Exposure

Energy
Start of Segment End of Segment

E0

0 6 mm 6 mm

Constant-Speed . . . The prealigner chuck rotates at a constant velocity while opening and
closing the shutter.

Stop-Start . . . Prealigner rotates to the start position of the segment, rotation stops, the
shutter is opened, and rotation begins. At the end of the segment the prealigner stops
rotating again, and the shutter is closed.

Expose Flat As An Individual Segment?


Note that this setting is only available if wafer type is set to “Round w/Flat.” refer to “Wafer
Type” on page 621.

No . . . The flat will not be exposed as an individual segment.

Yes . . . The flat will be exposed as an individual segment.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 831
Process Programs Wafer Edge Exposure

NOTE . . .
WHEN THIS OPTION IS SET TO “YES,” A NEW NON-EDITABLE SEGMENT WILL BE ADDED TO “Wafer Edge
Exposure Number Of Segments”.

Wafer Edge Exposure Flat Start Angle


This value is only editable when “Expose Flat As An Individual Segment?” is set to Yes. This
setting, in degrees, specifies the start angle of the wafer flat segment.

Wafer Edge Exposure Flat Angle Span


This value is only editable when “Expose Flat As An Individual Segment?” is set to Yes. This
setting, in degrees, specifies the span of the wafer flat segment.

Wafer Edge Exposure Flat Width


This value is only editable when “Expose Flat As An Individual Segment?” is set to Yes. This
specifies the width of the exposure, towards wafer center from the edge of the flat.

Wafer Edge Exposure Number Of Segments


This specifies the number of exposure segments, including the optional flat segment.

Wafer Edge Exposure Start Angle #


This setting, in degrees, specifies the start angle of the indicated segment.

Wafer Edge Exposure Angle Span #


This setting, in degrees, specifies the span angle of the indicated segment.

Wafer Edge Exposure Round Width


This specifies the width of the exposure, or spot size, in mm.

Wafer Edge Exposure Energy


The desired wafer edge exposure energy, in mJ/cm2.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 832
Process Programs Wafer Edge Exposure

NOTE . . .
WHEN A NEW RETICLE IS LOADED FROM THE LIBRARY TO THE STAGE, THE WEE SHUTTER IS OPENED FOR 2
SECONDS AT THE BEGINNING OF THE JOB AFTER THE RETICLE IS ALIGNED ON THE STAGE. THIS WARMS UP THE
EDGE EXPOSURE FOR THE CORRECT LIGHT INTENSITY, JUST IN CASE THE WEE SHUTTER HAS BEEN CLOSED FOR
A LONG PERIOD. THE WEE SHUTTER IS NOT OPENED IF THE RETICLE IS THE SAME AS THAT USED FOR THE
PRIOR JOB. THIS OPEN SHUTTER ACTION IS EXECUTED FOR BOTH WEE AND NONEDGE EXPOSURE JOBS.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 833
Process Programs Saving Process Program Data

Saving Process Program Data


Saving process program data allows you to take the process program data, currently located in
the computer’s memory, and save it onto the hard disk, floppy drive, or network.

NOTE . . .
PP_TEST PROCESS PROGRAM DATA DIRECTORIES ARE WRITE PROTECTED.

The directory “USER_DATA” is available for storing custom process program data files that the
user has created. In addition, the user also has the option of creating new directories.
1. To save process program data from the Main Menu:
Press: Setup Menu . . . Shift-F5 using keyboard
Press: Variables . . . F1 using keyboard
Press: Process Program Data . . . or use trackball
2. Select the Save function as shown in Figure 562.

Figure 562: Process Program Data Selected

Press: Save . . . Or use trackball to select

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 834
Process Programs Saving Process Program Data

After pressing Save, the Saving process program data Wizard will appear in the left panel as
shown in Figure 563.

Figure 563: Saving Process Program Data Wizard

Starts at first file in list.

Forwards to last file

3. Specify location of the directory where file is to reside.


Prompt: “Please specify the filename for the process program data File.
Then select Next to continue.”
Select: <An existing directory>
~ or ~
Press: New Directory . . . To create new directory
Prompt: “Enter New Directory”
Type: <A directory name>
Select: Ok . . . New directory is displayed and accessible.

NOTE . . .
USER DATA DIRECTORY IS FOR CUSTOMER TEST PROCESS PROGRAM DATA FILES. OTHER DIRECTORIES MAY BE
ENTERED IF DESIRED.

4. Select or specify the process program data file to be saved.


Select: “An existing file name”
~ or ~
Type: <A new file name> . . . In the “Enter Filename:” field

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 835
Process Programs Saving Process Program Data

Press: Next > . . . If data is saved to an existing file, the wizard warns that data
will be overwritten. File name modifications can be made if necessary.
5. Confirmation of action is presented.
Prompt: “The file “....pcr”, was saved successfully! Please select Next to Exit”
Press: Next > . . . The process program data configuration wizard will appear.
6. Return to the Setup Menu.
Press: Done . . . To exit from process program data configuration

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 836
3050 Zanker Rd, San Jose, CA 95134

Appendix D
Material Safety Data

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 837
Material Safety Data Maintenance Chemicals

Maintenance Chemicals
The following maintenance chemicals are used to maintain the AP200/300 stepper.

Acetone

Purpose
Acetone is used to clean the granite, lens, and chuck. The amount of acetone used per cleaning
is dependent on the area of the surface to be cleaned.

Description
Acetone is a clear liquid with a pungent odor. Acetone has NFPA ratings of: 1 - Health, 4 -
flammability, 2 - reactivity.

Potential Health Effects ‐ Acetone


1. Eye.
1.1 Produces irritation, characterized by a burning sensation, redness, tearing, inflammation
and possible corneal injury.
2. Skin.
2.1 May be absorbed through the skin.
2.2 May cause skin drying and cracking.
3. Ingestion.
3.1 May cause irritation of the digestive track.
3.2 May cause central nervous system depression, dizziness, drowsiness, and nausea.
3.3 Advanced stages may cause respiratory failure.
4. Inhalation.
4.1 Inhalation of high concentrations may cause central nervous system effects
characterized by nausea, headache, dizziness, and unconsciousness.
4.2 Causes respiratory tract irritation.
4.3 May cause motor incoordination and speech abnormalities.

Positive Developer

Purpose
Positive developer is used to remove photoresist on exposed wafer. A minimum of 1 gallon is
used to develop one or more wafers.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 838
Material Safety Data Maintenance Chemicals

Description
Positive developer is a corrosive liquid whose primary hazards are due to its high pH (alkalinity).
It has a NFPA rating of: 1 - health, 1 - flammability, 0 reactivity.

Potential Health Effects ‐ Na‐351 Positive Developer


1. Eye.
1.1 Contact with liquid causes burns.
2. Skin.
2.1 Prolonged or repeated contact with the skin may cause irritation and redness.
2.2 If not promptly removed, may cause burns.
3. Ingestion
3.1 May cause sever pain in the esophagus and stomach, corrosion of the lips, mouth
tongue, and pharynx.
4. Inhalation
4.1 Inhalation of the mist is irritating to the mucous membranes.

Extreme Pressure Grease

Purpose
Extreme Pressure Grease is used on adjustable studs, spherical nuts, and washers that are used
to hang the lens on the AP200/300 bridge structure. The grease also allows less friction during
the adjustment of the height and alignment of the lens. A thin coat is applied to the thread of
the studs and surface contact of the spherical nuts and washers.

Description
EPG is a pasty grease used to lubricate bearings. It has NFPA ratings of: 1 - health, 1 -
flammability, 0 - reactivity.

Potential Health Effects ‐ Extreme Pressure Grease


1. Eye.
1.1 Mild eye irritant.
2. Skin
2.1 Mild skin irritant.
2.2 Redness.
3. Ingestion.
3.1 Not expected to be harmful by ingestion.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 839
Material Safety Data Maintenance Chemicals

4. Inhalation.
4.1 Not expected as non-volatile.

Isopropyl Alcohol

Purpose
IPA is used for general cleaning of the tool. Less than 1 oz. is used to clean during each tool
cleaning which is done on an as needed basis.

Description
IPA is a flammable chemical with a NFPA flammability hazard code of 3. In concentrated form
it is a strong oxidizer. Contact with other materials can cause fire. DO NOT use IPA in the vicinity
of open flames, sparks, electrical motors, heated surfaces, or ungrounded surfaces. IPA has a
unique odor.

Potential Health Effects ‐ Isopropyl Alcohol


1. Eye
1.1 Exposure causes eye irritation. Symptoms may include stinging, tearing, redness, and
swelling.
2. Skin
2.1 Exposure may cause mild skin irritation.
2.2 Prolonged or repeated exposure may dry the skin. Symptoms may include redness,
burning, drying and cracking, and skin burns.
2.3 Pre-existing skin disorders may be aggravated by exposure to this material.
3. Ingestion
3.1 Single dose oral toxicity is low.
3.2 Swallowing small amounts during normal handling is not likely to cause harmful effects;
Swallowing large amounts may be harmful.
4. Inhalation.
4.1 Breathing small amounts during normal handling is not likely to cause harmful effects;
breathing large amounts may be harmful.
4.2 Symptoms associated with air concentrations exceeding the recommended exposure
limits may include irritation (nose, throat, respiratory tract), pre-existing lung disorders
(e.g. asthma-like conditions) may be aggravated by exposure to this material, impaired
coordination, confusion, central nervous system depression (dizziness, drowsiness,
weakness, fatigue, nausea, headache, unconsciousness), low blood pressure, coma.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 840
Material Safety Data Maintenance Chemicals

Liquid Granite Surface Plate Cleaner (Starretts)

Purpose
This material is used to clean the granite surface of the stepper. The amount used per cleaning
is dependent on the area of the surface that needs cleaning.

Description
Starretts Liquid Granite Surface Cleaner is an alkaline, clear, pink liquid with a “chemical” odor.

Potential Health Effects ‐ Starrett Liquid Granite Surface Cleaner


1. Eye
1.1 Contact may cause mild irritation.
2. Skin.
2.1 May cause mild irritation of sensitive or broken skin.
3. Ingestion
3.1 No reported symptoms.
3.2 Swallowing of large amounts may cause irritation of mucous membranes, nausea or
vomiting.
4. Inhalation
4.1 Inhalation should not be a problem under normal conditions of use. If product is misted
or sprayed, inhalation may cause respiratory irritation.

Loctite

Purpose
Loctite is used during various maintenance tasks as a threadlocker to ensure that nuts do not
back off during system operation. A drop is used per bolt that is secured.

Description
Liquid state. Red in appearance and has a mild color. NFPA rating of: Health: 1, Flammability:
1, Physical Hazard: 1

Potential Health Effects ‐ Loctite 242 and 262


1. Eye.
1.1 Contact with eyes will cause irritation.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 841
Material Safety Data Maintenance Chemicals

2. Skin.
2.1 May cause allergic skin reaction.
3. Ingestion
3.1 Not expected to be harmful by ingestion.
4. Inhalation
4.1 May cause respiratory tract irritation.

Mercury

Introduction
The following information applies to Filing of the US Department of labor “Material safety Sheet”
for the Ushio Short Arc Mercury Lamp (USH, SUV, UXM).
The occupational safety and Health Administration (OSHA) regulations govern in essence only
manufacturers of chemicals and manufacturers who use chemicals in their operations. The
regulations require full disclosure to employees engaged in such manufacturing operations of
hazards inherent in the chemicals. The regulations specifically exempt from coverage,
manufactured articles which may contain hazardous chemicals provided that they do not release
the chemical under normal conditions of use.
Since the USHIO USH lamps do not release any hazardous chemical during normal operation
they are exempted from this regulations and therefore a form need not be provided. However,
it is important that the product is handled in safe manner and for this reason please be advised
of the following:
1. The only material contained in the lamps that could be considered hazardous is pure
mercury. The mercury is completely enclosed in the lamp and unless the lamp is broken, no
mercury is released. If the lamp is broken during the handling, the mercury should be
cleaned up using standard procedures for handling the element. If the lamp should break
while operating, the mercury disperses and most of it condenses on the cold surfaces of the
lamp housing. The concentration of mercury in the air around the lamp house directly after
a breaking of the lamp should be less than the TLV as recommended by the American
Conference of Governmental and Industrial Hygienists.
2. The possibility of such a failure is rare and if happens, it is usually caused by abuse or
misapplication of the product.
3. The USH, SUV, UXM type lamps have a low pressure inside when cold. When the lamp is
operating, the pressure rises. The lamp is designed and built to withhold pressure much
higher than operating pressure. But in order to be sure no one is injured by flying glass if
the lamp should break violently, USH lamp houses should be constructed to contain any
projected particles. In additions, an interlock on the lamp house should be provided to
ensure you can not open the lamp house while the lamp is operating.
4. Lastly, the USH, SUV, UXM lamps emit radiation in the UV region that is harmful to skin and
eyes. Equipment using this lamp is so designed so as not to allow anyone to be exposed to
the lamp’s direct radiation.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 842
Material Safety Data Maintenance Chemicals

Purpose
Mercury is not a maintenance chemical, but is inside the lamps. In the event of a lamp being
broken, mercury can be released and personnel can be exposed.

Description
Mercury is a silver liquid at room temperature. It is also volatile and will evaporate to the
surrounding atmosphere if not cleaned up. Chronic exposures can cause kidney and liver
damage as well as central nervous system depression. Mercury has NFPA ratings of: 4 - Health,
0 - flammability, 1 - reactivity

Potential Health Effects ‐ Mercury


1. Eye.
1.1 Mercury is a corrosive and irritant to the eyes and can cause injuries to the cornea, burns
and visual disturbances.
2. Skin.
2.1 Is a skin irritant and also readily absorbed through the skin
3. Ingestion.
3.1 Ingestion can cause necrosis in the mouth, esophagus, throat and stomach.
3.2 Additionally can cause pain, nausea, and diarrhea.
3.3 Mercury is generally not readily absorbed when ingested.
4. Inhalation
4.1 Inhalation of vapor may cause cough, fever, chest pains, nausea and vomiting.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 843
3050 Zanker Rd, San Jose, CA 95134

Appendix E
Electronics and Pneumatics
Drawings

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 844
Electronics and Pneumatics Drawings Introduction

Introduction
The purpose of this chapter is to include information on the Pneumatics and Electronics. The
following sets of drawings are included:
Schematics for Pneumatics 19-25-03592 Sheets 1 to 7.
Interconnect Diagram, 19-25-00984 Sheets 1 to 10.
AC Power Wiring Diagram 19-25-02228 sheets 1 to 3.
Refer to attached drawings for information.

NOTE . . .
THE DRAWINGS LISTED IN THIS SECTION AND INCLUDED IN THIS MANUAL ARE THE LATEST REVISIONS AT THE
TIME OF THE RELEASE OF THIS MANUAL. ULTRATECH RESERVES THE RIGHT TO CHANGE, MODIFY, OR REPLACE
THESE DRAWINGS AS PART OF THE DESIGN CHANGE AND MODIFICATIONS.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 845
3050 Zanker Rd, San Jose, CA 95134

Glossary

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 866
Glossary

A/D Converter . . . A device that converts an analog signal, such as a voltage, to a digital
signal.

Acceptance . . . Acceptance and Confusion are compatibility scores that are used by the MVS
VP when comparing search image patterns to reference image patterns. These thresholds
indicate how closely a search image pattern needs to match the assigned reference image
pattern.

Achromatic Lens . . . A lens consisting of two or more elements, usually of crown and flint
glass, that has been corrected for chromatic aberration with respect to two selected
wavelengths. Also known as achromat. See “Doublet”.

Actinic . . . The property of radiant energy that indicates capability to produce chemical change,
as in the photographic activity of light.

Actinic Shutter . . . The shutter which lets green light (450-600 nm) through for alignment
purposes. It blocks out light which can expose the photoresist.

Aerial Image . . . The focal plane of the projected reticle image.

Air Probes . . . A set of three small orifices surrounding the output prism through which air is
blown onto a wafer surface for the purpose of measuring the wafer's distance.

Alignment Marks . . . Any feature that is trained and used for alignment.

Angstrom (Å) . . . A unit of length equal to 1×10-10 meter or 1×10-4 µm.

Anode . . . A positive electrode.

Arc Search . . . An MVS search mode used when one eye’s target capture is successful, but the
other eye’s target capture fails. The wafer is moved in an arc, pivoting about the successfully
captured target position, in an attempt to capture the other eye’s target.

Array Reference Point . . . Wafer array reference point is the location marked by an asterisk
on wafer layout plots, to which OAT coordinates may be referenced. For field array reference
point, see “Image Reference Point”.

Array Registration . . . XY positional accuracy of field or wafer array.

Atlas . . . Program which runs on PC-compatible computers that assists the user in the creation
of reticle and wafer layouts, and generates process program data.

Automatic Inspection Fiducials . . . Delimiter marks to define the inspection “care area” of
each 1× reticle field for automatic defect inspection machines. Fields should always be
inspected out as far as these fiducials.

Automatic Inspection System . . . Machine which performs automatic detection and


classification of reticle defects.

AutoWarmup . . . A software routine that automatically performs a series of exposures while


the stepper is idle, to ensure constant lens temperature.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 867
Glossary

Back Side Alignment . . . Referencing of exposure patterns to targets on the opposite side of
the wafer. Part of the Dual Side Alignment process.

Baseline . . . An imaginary line which defines the top of the specified lens area for each reticle
field.

Beam Splitter . . . An optical device that divides a beam of light into two or more separate
beams. Used in the illuminator and MVS subsystems.

Blind Stepping . . . Stepping a pattern without aligning to any marks on the wafer.

Borosilicate . . . A low expansion glass substrate material.

Broadband Exposure . . . Light exposure using a wide band of wavelengths.

Bump Bond Process . . . Known also as “bump” or “flip-chip” lithography, this process results
in a contact point shaped like a hemisphere, onto which a circuit’s contact(s) may be
bonded, allowing for smaller package-size circuits.

Camera Field of View (FOV) . . . The total area seen by an MVS video camera.

Cathode . . . A negative electrode.

CD . . . See “Critical Dimensions (CD's)”.

cfh . . . Cubic feet per hour, a unit of measurement. Equal to 7.86579×10-6 m3/s or 0.471947
liter per minute.

cfm . . . Cubic feet per minute, a unit of measurement. Equal to 4.71947×10-4 m3/s or
28.316846 liters per minute.

Chrome Reflectivity . . . Reflectivity of chrome film used in reticle manufacture; varies from
low reflective (approximately 10% reflective) to bright chrome (approximately 50%
reflective).

Chrome Spots . . . Excess chrome remaining in glass areas after reticle manufacture.

Clear Field . . . An area on the reticle where there are chrome lines in a clear glass background.

Closed Loop Cooler (CLC) . . . The closed loop system that is used to control the prism and
reticle temperatures. It generates cool air using a Peltier system which is sprayed on the
surface of reticle and around the upper prism.

Clutter . . . An MVS system score representing features of an object that are present in a search
image but not in the training image: extraneous features.

Coarse Alignment . . . Alignment performed when the machine senses an optical alignment
target (OAT) and aligns to it in X, Y and Theta.

Cold Mirror . . . A mirror which transmits infrared light but reflects visible and UV light. In the
stepper, a cold mirror is used to remove infrared radiation from the optical path.

Collimation . . . The process of aligning the XY stage guideways.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 868
Glossary

Color Offset . . . A correctable system offset resulting from performing alignment and exposure
operations at two different wavelengths of light.

Confidence . . . An MVS system score representing the ratio of two target captures within the
same field of view. Confidence is used as a method for preventing pattern miscapture. It
works when one pattern instance is expected to be in the field of view, and two or more
instances occur. Confidence is computed by:
Confidence = (Score1 - Score2) / Score1
Where Score1 is the best score and Score2 is the second best score. If only one target
is found, Confidence is 100% and search results are accepted. Any search result will
be rejected if Confidence is less than the user specified Confidence Threshold.

Confusion . . . See “Acceptance”

Continuum . . . The part of the mercury arc lamp spectrum between the principal exposure
wavelengths G-Line (426 nm), H-Line (405 nm) and I-Line (365 nm).

Critical Dimensions (CD's) . . . Geometry or space width on a reticle or a wafer whose


dimension is critical to proper circuit functioning. (See 1× Reticle Handbook).

D/A Converter . . . A device that converts a digital signal to an analog form.

DAC Counts . . . A digital value sent to a Digital-to-Analog converter, or a digital value sent by
an Analog-to-Digital converter.

Dark Field . . . An area of the reticle where there are clear lines in a chrome background.

Defect . . . Unwanted clear or chrome anomaly on reticle (hard defect), or airborne


contamination (soft defect) on reticle or wafer surface.

Diddlers . . . Devices on the reticle stage that convert X movements of the reticle finger into Y
movements of the reticle. Also known as ramps.

Die . . . A single integrated circuit. Generally several die will be included in each image field on
the reticle.

Die Fit . . . Comparison of measured XY die positions on reticle to perfect placement grid, or
to another plate used as reference.

Dopant . . . An impurity added to silicon or a semiconducting material to achieve desired


electrical properties in the material. An example of this would be adding the dopant boron
to silicon.

Doublet . . . Lens pair composed of Meniscus and Plano-Convex elements. See “Achromatic
Lens”.

Drop-In . . . In the 1× reticle field - a pattern, such as process control monitor or OAT, which
replaces a circuit die on the wafer.

DSA . . . See “Dual Side Alignment (DSA)”.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 869
Glossary

Dual Side Alignment (DSA) . . . A process of aligning patterns on one side of a wafer to
patterns on the opposite side of the wafer.

Dummy Wafer . . . A test wafer used for wafer handler cycling tests. May contain patterns
(scrapped wafer).

Dump Zone . . . A method of preserving alignment marks, for use on subsequent layers. The
stepper will align to a target which is offset from the desired exposure position. After
alignment, a position shift is made by the XY stage prior to exposure. Since the exposure
occurs at a location other than the alignment position, the wafer targets are not exposed by
the reticle keys.

Edge Clearing . . . A process of blind-exposing the perimeter of the wafer, outside the normal
imaged area, in order to remove the residual resist during the develop step.

Edge Exclusion . . . An assembly and process that allows the edges o f the wafer to be
protected or excluded from exposure.

Edge Exposure . . . An assembly and process that allows the edges of the wafer to be
exposed.

EGA . . . See “Enhanced Global Alignment”.

Emission Lines . . . Light of specific wavelengths which are emitted due to excitation and
corresponding atomic processes of an element. The “g” and “h” emission lines of the
mercury spectrum are used for broadband exposure in the stepper.

Enhanced Global Alignment . . . An alignment mode where a few sites on the wafer are
aligned to, and the rest of the wafer is blind stepped, using offsets derived from the aligned
sites.

Exposure Dose . . . A unit of exposure energy that is used by stepper hardware. The exposure
calibration routine is used to establish a relationship between doses and mJ.

Exposure Factor . . . A variable in reticle data whose value multiplies the normal exposure in
order to vary the exposure of different fields on the reticle.

Exposure Field . . . The extent of the stepper field size over which lens specifications are
guaranteed.

Exposure Uniformity . . . The evenness of light intensity across the entire exposure field.

Eye . . . Left or right, refers to the left or right MVS camera.

Eye Fix . . . Use of the left or right eye cameras to sense left or right wafer targets, respectively.

Feature . . . A structure, shape or pattern on the reticle or wafer; especially: one that is
distinctive.

Fiducial . . . Features placed on the reticle used to position the reticle during reticle loading.
Fiducials are also used in positioning the pellicle mounting frame and the reticle mounting
guides and for automatic inspection purposes. Types of fiducials:
„ Reticle Alignment fiducial

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 870
Glossary

„ Pellicle align fiducial


„ Auto inspection fiducial
„ Guide mounting fiducial

Field . . . The portion of a reticle that will be exposed by the stepper during a single exposure.

Field Aperture . . . A device located directly above the reticle, which limits the area of
illumination at the reticle. Its position is process program data selectable.

Field Layout Plot . . . Plot of die positions within 1× reticle field produced by Atlas or Retman;
useful for mask shop 1× reticle job planning.

Field of View . . . See “Camera Field of View (FOV)”.

Field Utilization . . . Percentage of usable lens area occupied by die patterns.

Finished Reticle . . . A 5×5 or 6×6, 1× reticle with a pellicle, or a 5×5, 1× reticle with a pellicle,
cut to 3×5 inches, with guides mounted.

Flat Find . . . Technique used by the wafer handler to align the wafer's primary flat parallel to,
or at a right angle to, the front of the machine.

Flexure . . . A rod or bar of spring steel that holds an object or assembly in place in two axes,
but allows it to be positioned in an alternate axis. Positioning is accomplished by “flexing”
the flexure.

Flipper Prism . . . A prism, mounted to the MVS bridge, that is motor driven to “flip” down
above the reticle, allowing the MVS cameras to see through the reticle. The prism is “flipped”
up before an exposure.

Focus . . . A condition wherein the focal plane of a projected image and the plane which
receives the image coincide.

Focus Bias . . . A process variable whose value provides for changing the distance between the
prism and the wafer to a desired distance.

Focus Drilling . . . A process used when thick resist is present, that performs multiple
exposures, each at a different wafer-to-air probe gap, in order to achieve better sidewall
slope control in the developed resist.

Focus Plane . . . The plane of perfect focus. The “in focus” plane incorporates previously
recorded probe counts for the aerial image combined with any offsets unique to the specific
situation.

FOV . . . Abbreviation for field of view. See “Camera Field of View (FOV)”.

FPM . . . Abbreviation for feet per minute. Equal to 0.3048 meters per second.

Frosty OAT . . . An alignment feature that is part of either the wafer chuck or is mounted on
the XY stage. It is used to check reticle skew, and on DSA steppers, to reference the position
of the off-axis camera to the on-axis cameras.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 871
Glossary

Frosty Wafer . . . A wafer with an aluminum layer sandwiched between a layer of thermally
grown oxide and CVD oxide. This wafer is used to scatter light back up to the detector
assembly during darkfield reticle alignment.

Gain . . . The amplitude or strength of a signal, such as a WAS scan signal or MVS illumination
value.

Glass Cutting Fixture . . . A fixture available from stepper to cut 1× reticles from 5×5 to 3×5
inches.

Glass Wafer . . . Glass wafer, coated with chrome and photoresist, used for qualification of 1×
reticle patterns.

Global Alignment . . . Coarse alignment of a wafer using an OAT for reference.

Global Capture . . . Term used to describe the location, or “capture,” of the optical alignment
target (OAT) by the stepper's alignment system.

Granite Block . . . The large ultra flat 1500 pound stone over which the stages travel.

Guides . . . Metal pieces glued to 1× reticle at the edges of the 3×5 inch reticle to act as
reference for stepper reticle positioning.

Guide Skew . . . Angular misplacement of reticle guides with respect to reticle alignment
fiducials.

Illumination Area . . . The reticle area illuminated by the stepper's illumination optics during
image exposure.

Illumination Field . . . The rectangular area of illumination provided by the illuminator.

Image . . . The optical counterpart of an object produced by an optical device (as a lens or
mirror) or an electronic device.

Image Alignment . . . The alignment of a reticle image field to an image field on the wafer
using alignment keys on the reticle and targets on the wafer.

Image Alignment Marks . . . Alignment keys (reticle) and targets (wafer) used by the stepper
for alignment.

Image Field . . . The rectangular or square-shaped area on a wafer onto which images of die
patterns are projected during an exposure step. Image field also refers to one of the
rectangular or square-shaped areas on the reticle.

Image Number . . . The identification number of an image field on the reticle.

Image Position Test . . . See “Zero Wafer”.

Image Reference Point . . . The point defined by the intersection of the field baseline and a
vertical line that divides the field into equal left and right halves.

Image Tilt . . . Any deviation in level, of the projected image plane, relative to the platen or
granite plane.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 872
Glossary

in. . . . Abbreviation for inch.

inch . . . A unit of measurement. 1/12 foot. Equal to 25.4 mm.

Index of Refraction (n) . . . The ratio of the velocity of light in a given material to the velocity
of light in a vacuum.

Input Prism . . . The stepper prism over which the reticle is positioned.

Intermix . . . The combination of two or more pieces of lithography equipment used to print
wafers. Also known as Mix and Match.

Irradiance . . . Flux density or power output of an illuminator in mW/cm2.

Key . . . An alignment mark on the reticle which is used as a reference. When printed, they
become targets and can aligned to the keys during wafer alignment process. Keys are
generally designed in pairs symmetrically about the image reference point.

Key/Target Evaluation . . . A series of short-loop processing experiments run using an


stepper test reticle to determine best key and target sizes for optimum image alignment
signals.

KLARIS . . . KLA Instruments Corporation automatic reticle inspection system which allows
comparison of reticle images against original CAD database.

kPa . . . Kilo Pascals, 1000 Pa, see “Pascal”.

KT Evaluation . . . See “Key/Target Evaluation”.

l/m . . . Liters per minute, 0.0353 cfm.

Local Prealign . . . An alignment option which provides theta correction at each step on the
wafer.

Low Expansion Glass . . . Mask substrate material with low thermal coefficient of expansion.

LPM . . . Liters per minute, a unit of measurement.

LVDT (Linear Voltage Differential Transformer) . . . A device consisting of a coil assembly


housed in a steel sleeve and a ferromagnetic core which produces an output voltage
proportional to the displacement of its movable core.

Machine Code . . . The software program which operates the stepper.

Mechanical Wafer Alignment . . . Location of the wafer center relative to the center of the
wafer stage; performed prior to blind stepping, using mechanical optical or air sensors.

Mega Frosty Wafer . . . An etched wafer having diagonal lines and spaces designed to scatter
light back up to the detector assembly during darkfield reticle alignment.

Metrology . . . In the case of 1× reticles, refers to CD measurements, absolute position


measurements, etc.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 873
Glossary

Micron (µm) . . . One millionth of a meter, 1×10-6 meters or 1×10-3 millimeters. Equal to
3.937×10-5 inch.

Mil . . . Slang for thousandth of an inch, 0.001 inch. Equal to 25.4 µm.

Mix and Match . . . See “Intermix”.

mm . . . Abbreviation for millimeter. Equal to 0.03937 inch.

Monitor . . . A video display unit.

ms . . . Abbreviation for millisecond, 0.001 second.

MVS . . . Machine Vision System, an alignment system utilizing video cameras to identify reticle
key and wafer target locations.

MVS Window . . . An open “clear” area on the reticle, void of chrome except for MVS reticle
keys. This clear viewing area allow the MVS camera to look through the reticle onto the
wafer.

NAMs . . . See “Non-Standard Alignment Marks (NAMs)”

nm . . . Nanometer, 1×10-9 meter. Used to measure wavelength of visible and ultraviolet


electromagnetic radiation.

Non-Contact WEP . . . It refers to the Wafer edge protection using a ring to protect the edge
of the wafer from being exposed without the ring touching the wafer surface. A gap of 50
micron exists between top surface of the wafer and bottom surface of the ring. See also
WEP.

Non-Standard Alignment Marks (NAMs) . . . stepper alignment marks which do not


conform to either the standard HAM or VAM format.

Numerical Aperture (NA) . . . The sine of the vertex angle of the largest cone of meridional
rays that can enter or leave an optical system or element, multiplied by the refractive index
of the medium in which the vertex of the cone is located. Generally measured with respect
to an object or image point, and will vary as that point is moved.

OAT . . . See “Optical Alignment Target (OAT)”.

Off-Axis Camera . . . A third MVS camera, mounted in front of the reticle stage, that is used
to locate backside alignment marks on DSA steppers.

Offset . . . Shift of field, wafer array, die, or target from the default position.

Offset Chuck . . . A type of wafer chuck that is specially designed to accommodate thick
substrates (usually rowbars) that are small in size. This type of chuck pads that the stepper
can focus on.

Opaque Area . . . Reticle area which is covered by chrome, and therefore not transparent to
light.

Optical Alignment Target (OAT) . . . A 4mm × 4mm cross on the wafer which is used for
coarse or global wafer alignment.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 874
Glossary

Output Prism . . . The stepper prism under which the wafer is placed.

Overlay . . . The term used to describe how accurately two distinct reticle patterns, usually
different layers, are superimposed to one another.

Pa . . . Abbreviation for Pascal; see “Pascal”.

Pascal . . . A unit of pressure. One Pascal is the pressure generated by a force of 1 newton
acting on an area of 1 square meter. It is a rather small unit as defined and is more often
used as a kilo Pascal [kPa]. Equivalent to 1.45×10-4 psi.

Passivation . . . Thick overcoat layer, put on an integrated circuit for protection from scratches,
etc.

Pattern . . . See “Feature”.

PCR . . . A reticle data format, created by the Atlas program, that is compatible with the 1000
Series PC Products stepper controllers.

Pellicle . . . A thin, transparent, replaceable membrane placed over the chrome side of the
reticle to protect the chrome from scratches and deterioration and to keep particulate
contaminates away from the imaging plane of the reticle.

Pellicle Alignment Fiducials . . . Cross-shaped (+) marks on all 1× reticles, used to align the
pellicle frame to the row of image fields.

Pellicle Frame . . . Metal frame to which pellicle is attached, used to give the pellicle a standoff
of approximately 50 mils from the chrome film.

Pellicle Mounting Fixture . . . A tool available from Ultratech to mount the pellicle on the 1×
reticle.

Phase Errors . . . Timing errors which are dependent on the direction of motion. During
alignment, alignment targets are scanned both left to right and right to left. An average of
the sample signal positions is taken, thus eliminating phase errors in determining the actual
alignment position.

Photomask . . . Mask or reticle used for photolithographic manufacture of integrated circuits.

Photovoltaic Cell . . . A sensor that converts light into electric current.

Physical Center of the Wafer . . . Center point of wafer, neglecting wafer flats.

Pinhole . . . Unwanted space in chrome masking film.

Plane Fit Algorithm . . . An algorithm in focus software which corrects for wafer tilt
considering the position of the XY stages.

Prealign . . . The first site by site alignment step performed after a reticle field change. Corrects
for X, Y, and theta.

Primary Mirror . . . The concave spherical mirror used in the optics of the stepper.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 875
Glossary

Prism Pellicle . . . A thin, transparent, replaceable membrane placed below the output prism
to prevent the build-up of photo resist on the prism surface.

Prism Protection system . . . The sub-assembly used to deliver the prism protection pellicle.
It’s function is to protect the prism from resist buildup on prism.

Probe Count . . . A number which corresponds to the size of the air gap between an air probe
and the wafer below it.

Process Control Monitor (PCM) . . . Test die containing electrical test structures used to
monitor the performance of various phases of an IC manufacturing process.

Projection Overlay Budget . . . The cumulative statistical error in the position of the
projected die image, including contributions from stepper, mask and process.

Prompt . . . A reminder or cue that a response to the computer is necessary. (e.g., “What may
I do for you next?”)

psi . . . Abbreviation for pounds per square inch; 703.1 kg/m2; 6.895 kPa.

Quartz . . . High quality glass used for mask and reticle substrates. It is used chiefly because
of its low thermal coefficient of expansion.

Ramps . . . See “Diddlers”.

Random Defects . . . Defects occurring at random throughout a mask pattern.

Reference Pattern . . . A pattern or feature which has been selected to be trained on,
remembered, and stored on the hard drive as a “Reference Pattern File”.

Registration . . . Accuracy with which one circuit layer overlays to another.

Repeating Defect . . . Defect appearing in the same position of every die on the mask; most
commonly caused by a defect on the reduction reticle used to step and repeat the mask.

Reticle . . . A chrome plated glass plate on which a mask of one or two image fields have been
formed.

Reticle Alignment . . . The process of aligning the reticle to the alignment system of the
stepper.

Reticle Alignment Fiducials . . . Clear crosses on left and right sides of each row of fields of
a 1× reticle, used to align the reticle to the stepper. See “Fiducial”.

Reticle Data . . . Data created by Atlas or Retman which specifies the parameters of each
reticle, as well as wafer layout parameters.

Reticle Finishing . . . The process of mounting a pellicle, cutting glass, and putting guides on
a 1× plate.

Reticle Guide . . . Metal pieces glued to 1× reticle at the edges of the 3×5 inch glass plate to
act as reference for stepper reticle positioning.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 876
Glossary

Reticle Guide Fiducials . . . 1× reticle fiducials used to align reticle guides to the reticle
patterns.

Reticle Guide Fixture . . . Tool available from stepper to align and mount 1× reticle guides.

Reticle ID . . . Identifying title placed on photomask during manufacture.

Reticle Image Field . . . The rectangular or square-shaped area on a wafer onto which images
of die patterns are projected during an exposure step. Image field also refers to one of the
rectangular or square-shaped areas on the reticle.

Reticle Key . . . The feature on the reticle to be used by the MVS or WAS as the reticle-to-
wafer alignment reference point.

Reticle Manager (Retman) . . . Program which runs on PC Compatible, HP 9826, HP 332 or


HP 362 computers (depending on the version of Retman) to assist reticle designers, create
reticle and wafer layouts, and generate reticle data disks.

Reticle Skew . . . Rotational misalignment of reticle with respect to the reticle stage.

Reticle Stage . . . A metal platform on the stepper on which the reticle moves. (in the “X”, “Y”
and rotational directions).

Right Eye . . . Refers to the right MVS camera.

Run Mode . . . Operating mode of the stepper. For example, run mode 1 is blind step, run mode
2 is align and expose.

Runout . . . A difference in the X axis between reticle key spacing and wafer target spacing.
Caused by growth or contraction of the wafer during processing, or by magnification
differences between steppers.

Safe Radius . . . A controller generated area of the wafer, inside which all three focus air probes
can remain active.

SCFH . . . Standard cubic feet per hour, a unit of measurement. Equal to 7.86579×10-6 m3/s or
0.471947 liter per minute.

SCFM . . . Standard cubic feet per minute, a unit of measurement. Equal to 4.71947×10-4 m3/
s or 28.316846 liters per minute.

Scribe Lines . . . Inactive space between circuit chips often containing alignment marks and
test geometries. Wafers are cut along scribe streets to separate individual chips before
packaging. Also called scribe streets or scribes.

Sec . . . Abbreviation for second.

Short Step Focus . . . A procedure which identifies the probe counts that describe the location
of the aerial image.

Site-by-Site Alignment . . . Fine X and Y alignment performed at each stepping position of


the wafer.

SLPM . . . Standard liters per minute, a unit of measurement. Equal to 1.67×10-5 m3/s.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 877
Glossary

Smart boards . . . See ASH boards

Soft Break . . . Method of halting the current operation of the stepper without leaving the
sequence.

Soft Vacuum . . . A low level of vacuum that is used while picking up a wafer from either a
manual, multi-manual, or automation autoloader wafer handler.

Spiral Search . . . An MVS alignment search option. Upon a no-align condition, the wafer is
moved in a spiral pattern, by a user-defined step size. This proceeds until either a target is
found, or a user-defined search limit is reached.

Standing Wave Effects . . . Ripples in the photoresist due to interference of single


wavelength light when it is reflected at the wafer surface. The stepper minimizes this
problem by using broadband exposure so that the different wavelengths have peaks at
different locations in the photoresist, thereby at different locations.

Step and Repeat . . . The process of exposing, moving to the next position to be exposed,
and so forth.

Step Center . . . The center of each stepping position.

Stepper Motor . . . An electric motor capable of moving in extremely precise rotational


increments or steps.

Stepping Distance . . . The distance between adjacent step centers.

Stepping Motor . . . See “Stepper Motor”.

Substrate . . . Base wafer material.

Target . . . Topographical mark etched into a film on the wafer surface to which subsequent
layers will be aligned.

Test Die . . . Same as “Process Control Monitor” (PCM). Also called a test pattern.

Test Reticle . . . One of several reticles used to perform evaluations, machine diagnostics, etc.

Theta . . . A Greek letter (θ) used to represent angular or rotational movement of an object.

Theta Stage . . . A mechanical structure that controls the rotational position of the wafer
chuck.

Threshold . . . A portion of a maximum, usually expressed as a percentage. During a WAS


alignment scan, a stage position sample is taken each time a threshold is reached.

Throughput . . . Number of wafers per hour which can be processed by the stepper.

Tilt Window . . . Motor driven rotating glass plate which is used to refractively shift reticle key
or fiducial images in the Y-direction for reticle alignment.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 878
Glossary

Train . . . The process used by the MVS alignment system to locate, optimize, digitize, sample,
and memorize a reticle or wafer feature area of an image. This memorized feature is referred
to as a “Reference Pattern” or “Reference Feature”. The stored feature is referred to as a
“Reference Pattern File.”

Training Window . . . A user-defined rectangular area of the image that is designated as the
“Reference Pattern.” The size of the rectangle determines the size of the reference pattern
stored (in pixels). The training window may be the same size as the alignment feature,
perhaps 50 × 50 µm. This may be best for isolated targets that can “smear” on the ends
during processing. Conversely, the training window may be made slightly larger than the
alignment feature to include a small portion of the background. The defined edges of the
feature can help prevent confusion of recognizing adjacent intersecting lines as the feature
pattern. The small centering cross in the middle of the training window is aligned to a
specific reference point on a feature and “learned”. The video pixel arrangement within the
training window is digitized and stored as a reference pattern file on the hard drive.

Vacuum Chuck . . . A circular, grooved, flat surface mounted on the theta stage. The wafer is
held onto the chuck by a vacuum. The chuck both rotates and moves the wafer up and down
to bring it into the focal plane.

Voice Coil . . . A continuous copper wire wound in a tight coil through which electrical current
is passed to generate an electromagnetic force which attracts or repels an associated
magnet.

Voting . . . The process of exposing a step or steps on the wafer with multiple reticle fields.

VP . . . An acronym for “Vision Processor”. The VP is the heart of the Machine Vision System. It
is the electronic module that processes the MVS camera output in terms of images,
digitizing, pixels, gray scales, analyzing, memorizing, and pattern recognition.

Wafer Array . . . The layout of the array of steps on the wafer after it has been exposed by
the step and repeat process of the stepper.

Wafer Coverage . . . The percentage of the total wafer area occupied by the wafer array.

Wafer Edge Protection (WEP) . . . An operation to protect the wafer edge from being
exposed. To perform this operation, after wafer positioning on the stage chuck, the WEP
sub-assembly positions a ring on the edge of the wafer to protect the wafer edge from
exposure. see also no-contact WEP.

Wafer Layout . . . A graphic produced by Atlas or Retman that shows the wafer array stepping
sequence, wafer coverage, OATs, prealign positions, and eye fixes.

Wafer Stage . . . Mechanical stage which moves the wafer in “X”, “Y” and “Theta” on the
stepper.

Wafer Target . . . The feature on the wafer that is used for alignment.

WAS . . . Wafer alignment system, used on 1000 series steppers.

Wavelength . . . The physical distance covered by one cycle of a sinusoidal wave that is
represented as lambda (λ) and is usually in units of nanometers (nm).

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 879
Glossary

X Array Offset . . . Distance in the X direction that the center of the array of steps in displaced
away from the physical center of the wafer.

X Direction . . . Direction of travel of the X stage to the left and right, parallel to the front
surface of the stepper.

X Key to Reference Dimension . . . The X distance from the reticle field center line to either
of the WAS crossmasks, in millimeters. Same as V-motor setting.

X Scribe . . . The scribe street which runs parallel to the X axis; also called the horizontal scribe.
HAMs are placed in X scribes.

X Stage . . . A mechanical structure with two linear motors that moves the wafer in the X
direction.

Y Array Offset . . . Distance in the Y direction that the center of the array of steps is displaced
away from the physical center of the wafer.

Y Direction . . . Direction of travel of the Y stage to the front and back of the stepper,
perpendicular to the X direction.

Y Stage . . . A mechanical structure with one linear motor that moves the wafer in the Y
direction.

Y Street . . . The wafer scribe street which runs parallel to the Y axis; also called the vertical
street.

Z Mode . . . 1000 series stepper manual assist mode.

Z Moves . . . Vertical movement of the wafer chuck.

Zero Wafer . . . A diagnostic routine, used to identify the stage position at which the projected
0,0 point of the test reticle will be precisely placed at the center of the wafer. Also known as
the Image Position Test.

AP200/AP300/AP300-W Operations Manual


19-25-04433-00-03, Rev. E, ECN 15971 Page 880

You might also like