Data Types
Data Types
Data Types
by specifying the index values into Y := X(4); -- Y gets value of element at index 4
array.
v It is Used to group elements of possibly different types into a single VHDL object.
v In VHDL, records help the designer organize data that belongs together.
v This data type can be composed of same or different data types (similar to
structures in C).
v It is possible to make arrays of records
type t_rec1 is record -- Declare a record with two fields
f1 : std_logic;
f2 : std_logic_vector(7 downto 0);
end record t_rec1;